External Memory Interface Handbook Volume 2: Design Guidelines: For UniPHY-based Device Families

ID 683385
Date 3/06/2023
Public
Document Table of Contents

8.2.4. Functional Simulation with VHDL

The UniPHY VHDL file set is provided for customers who want to generate the top-level RTL instance of their UniPHY cores in VHDL.

Prior to Quartus Prime version 15.1, the VHDL fileset was composed entirely of VHDL files. Beginning with Quartus Prime version 15.1, only the top-level IP instance file is guaranteed to be written in VHDL; submodules can still be written in Verilog/SystemVerilog (encrypted or plaintext), or in VHDL. Note that the ModelSim* - Intel FPGA Edition is no longer restricted to a single HDL language, as of version 15.1; however, some files may still be encrypted in order to be excluded from the maximum unencrypted module limit of this tool.

Because the VHDL fileset consists of both VHDL and Verilog files, you must follow certain mixed-language simulation guidelines. The general guideline for mixed-language simulation is that you must always link the Verilog files (whether encrypted or not) against the Verilog version of the libraries, and the VHDL files (whether simgen-generated or pure VHDL) against the VHDL libraries.

Simulation scripts for the Synopsys, Cadence, Aldec, and Mentor Graphics simulators are provided for you to run the example design. These simulation scripts are located in the following main folder locations:

Simulation scripts in the simulation folders are located as follows:

  • <variation_name>_example_design\simulation\vhdl\mentor\msim_setup.tcl
  • <variation_name>_example_design\simulation\vhdl\synopsys\vcsmx\vcsmx_setup.sh
  • <variation_name>_example_design\simulation\vhdl\cadence\ncsim_setup.sh
  • <variation_name>_example_design\simulation\vhdl\aldec\rivierapro_setup.tcl

Simulation scripts in the <>_sim_folder are located as follows:

  • <variation_name>_sim\mentor\msim_setup.tcl
  • <variation_name>_sim\cadence\ncsim_setup.sh
  • <variation_name>_sim\synopsys\vcsmx\vcsmx_setup.sh
  • <variation_name>_sim\aldec\rivierapro_setup.tcl

For more information about simulating Verilog HDL or VHDL designs using command lines, refer to the Mentor Graphics ModelSim* and QuestaSim Support chapter in volume 3 of the Quartus Prime Handbook.