Avalon Verification IP Suite: User Guide

ID 683439
Date 2/17/2022
Public
Document Table of Contents

7.2.28.21. set_enable_c_waitrequest_in_write_burst()

Prototype:

set_enable_c_waitrequest_in_write_burst()

Arguments:

Verilog HDL: Boolean

VHDL: N.A.

Returns:

void

Description:

Enables a coverage group to test the values of the waitrequest parameter during write burst transfers.
Language support: Verilog HDL