Avalon Verification IP Suite: User Guide

ID 683439
Date 2/17/2022
Public
Document Table of Contents

7.2.28.11. set_enable_c_idle_before_transaction()

Prototype:

set_enable_c_idle_before_transaction()

Arguments:

Verilog HDL: Boolean

VHDL: N.A.

Returns:

void

Description:

Enables a coverage group to count idle cycles before read or write transactions.
Language support: Verilog HDL