Avalon Verification IP Suite: User Guide

ID 683439
Date 2/17/2022
Public
Document Table of Contents

8.4.15. push_transaction()

Prototype:

push_transaction()

Arguments:

Verilog HDL: None

VHDL: bfm_id, req_if(bfm_id)

Returns:

void

Description:

Inserts the out-going transaction into the local transaction queue. The BFM drives the appropriate signals to the Avalon-ST interface based on the transactions in its local queue.
Language support: Verilog HDL, VHDL