Avalon Verification IP Suite: User Guide

ID 683439
Date 2/17/2022
Public
Document Table of Contents

7.2.29.9. get_command_address()

Prototype:

bit [AV_ADDRESS_W-1:0] get_command_address()

Arguments:

Verilog HDL: None

VHDL: command_address, bfm_id, req_if(bfm_id)

Returns:

bit [AV_ADDRESS_W-1:0]

Description:

Queries the received command descriptor for the transaction address.
Language support: Verilog HDL, VHDL