Intel® Quartus® Prime Standard Edition User Guide: Design Compilation

ID 683283
Date 9/24/2018
Public
Document Table of Contents

3.3.1. Partitions for Preserving Hierarchical Boundaries

A design partition represents a portion of your design that you want to synthesize and fit incrementally.

If you want to preserve the Optimization Technique and Restructure Multiplexers logic options in any entity, you must create new partitions for the entity instead of using the Preserve Hierarchical Boundary logic option. If you have settings applied to specific existing design hierarchies, particularly those created in the Intel® Quartus® Prime software versions before 9.0, you must create a design partition for the design hierarchy so that synthesis can optimize the design instance independently and preserve the hierarchical boundaries.

Note: The Preserve Hierarchical Boundary logic option is available only in Intel® Quartus® Prime software versions 8.1 and earlier. Altera recommends using design partitions if you want to preserve hierarchical boundaries through the synthesis and fitting process, because incremental compilation maintains the hierarchical boundaries of design partitions.