Quartus® Prime Standard Edition User Guide: Design Compilation

ID 683283
Date 10/22/2021
Public
Document Table of Contents

3.5.14. Ignore translate_off and synthesis_off Directives

The Ignore translate_off and synthesis_off Directives logic option directs Quartus® Prime Integrated Synthesis to ignore the translate_off and synthesis_off directives. Turning on this logic option allows you to compile code that you want the third-party synthesis tools to ignore; for example, IP core declarations that the other tools treat as black boxes but the Quartus® Prime software can compile. To set the Ignore translate_off and synthesis_off Directives logic option, click Assignments > Settings > Compiler Settings > Advanced Settings (Synthesis).