E-tile Hard IP User Guide: E-Tile Hard IP for Ethernet and E-Tile CPRI PHY Intel® FPGA IPs

ID 683468
Date 12/28/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2.13. Document Revision History for the E-tile Hard IP for Ethernet Intel FPGA IP Core

Document Version Intel® Quartus® Prime Version IP Version Changes
2022.12.28 22.3 22.0.0 Corrected the default value for sim_mode
2022.09.26 22.3 22.0.0
  • Renamed the parameter Enable SyncE to Enable SyncE With Dedicated Reference Clock Per Channel
  • Added a table row for Disable ANLT Golden Recipe
  • Updated the "Conceptual Overview of General IP Core Reset Logic" table
2022.08.30 22.1 20.3.0

Corrected the IP version for Intel® Quartus® Prime Pro Edition software version 22.1 in the Release Information section

2022.07.01 22.1 20.3.0 Updated 1588 PTP Registers with the correct TXPTP_REVID and RXPTP_REVID in the 1588 PTP Registers table
2022.03.28 22.1 20.3.0 Made the following changes:
  • Updated section: Parameter Editor Parameters with the following:
    • Removed the note about 25G with PTP in section:
    • Added the Design Environment parameter to the E-Tile Hard IP for Ethernet Intel FPGA IP Parameters: IP Tab table
  • Updated section: Release Information with the following:
    • Added "IP-ETH-ETILEKRCR" to Ordering Codes to enable KR/CR (AN/LT) for E-Tile Ethernet Hard IP (10GE/25GE/100GE) in the IP Version in the E-Tile Hard IP for Ethernet Intel FPGA IP Core Release Information table
    • Added "IP-ETH-ETILEKRCR" to Ordering Codes to enable KR/CR (AN/LT) for E-Tile Ethernet Hard IP (10GE/25GE/100GE) in the IP Version in E-Tile Ethernet IP for Intel® Agilex™ FPGA Core Release Information table
2021.12.13 21.4 20.2.1 Made the following changes:
  • Added a clarifying note for Enable IEEE 1588 PTP and Enable external AIB clocking in section: Parameter Editor Parameters.
  • Corrected the default value of rx_pause_daddr in section: RTL Parameters,
  • Clarified the flowreg_rate register value for 10G variation.
  • Removed support for the NCSim simulator.
  • Added support for the QuestaSim* simulator.
2021.08.04 21.2 20.2.1 Made the following changes:
  • Corrected reset value for Lower 4 bytes of the Destination address for RX Pause Frames and Higher 2 bytes of the Destination address for RX Pause Frames.
  • Added SyncE support for 10G variant.
  • Added clarifying notes in section: PTP Timestamp and TOD Formats and PTP System Considerations.
  • Corrected descriptions for PHY Registers: Loopback Mode.
2021.03.29 21.1 20.2.1 Made the following changes:
  • Added a new section: SDC for Multiple E-Tile Instances.
  • Added a note about AN/LT in section: Parameter Editor Parameters.
  • Removed duplicate entries in Table: PTP Timestamp Accuracy per Ethernet Data Rate.
  • Added reset information while using the Ethernet Toolkit in section: Reset.
  • Updated description for Timer Window for Hi-BER Checks register.
  • Added new parameter: Enable SyncE.
  • Added new sections:
    • Single 25G Synchronous Ethernet Channel
    • Multiple 25G Synchronous Ethernet Channels
2020.12.14 20.4 20.2.1 Made the following changes:
  • Added new information for 100G PTP support.
  • Corrected the following figures:
    • Ethernet Cores Position on an E-tile Device
    • Ethernet 25G x 1
    • Ethernet 25G x 4 (FEC On) Master-Slave Configuration Option
  • Clarified the availability of asynchronous adapter clocks for 100G mode.
  • Added the PTP Accuracy Advanced Mode support for the Intel® Agilex™ devices.
  • Corrected the script location in section: Logic Lock Regions Requirements for PTP Accuracy Advanced Mode.
  • Added new register in PHY Registers: Reset Sequencer RS-FEC Disable.
  • Added new registers for 100G PTM PPM in section: 1588 PTP Registers.
2020.10.05 20.3 20.2.0 Made the following changes:
  • Added clarifying note regarding the Avalon® -ST interface modification in the E-Tile Hard IP for Ethernet Intel® FPGA IP. The note was added in the Client Interfaces for IP Core Variations table and the TX MAC Interface to User Logic section.
  • Added KDB link in the About the E-Tile Hard IP for Ethernet Intel® FPGA IP Core section.
  • Updated list of supported Ethernet channel protocols in the Ethernet Protocols table.
  • Updated External Time-of-Day Module for Variations with 1588 PTP Feature section. Added new subsections describing the PTP Accuracy modes:
    • TOD Module Required Connections in Basic Mode
    • TOD Module Required Connections in Advanced Mode
  • Updated the E-Tile Hard IP for Ethernet Intel® FPGA IP Parameters: IP Tab table:
    • Added PTP Accuracy Mode parameter.
  • Updated the E-Tile Hard IP for Ethernet Intel® FPGA IP Parameters: 10GE/25GE Tab:
    • Updated Enable asynchronous adapter clocks parameter description.
    • Added Include refclk_mux parameter.
  • Updated the E-Tile Hard IP for Ethernet Intel® FPGA IP Parameters: 100GE Tab table:
    • Added Preserve Unused Transceiver Channels parameter.
    • Added Reference Clock Frequency for Preserved Channels parameter.
  • Revised text in the Determining Link Fault Condition section. Removed outdated Intel® Quartus® Prime software version.
  • Updated PTP System Consideration topic.
  • Added new topics:
    • PTP Timestamp Accuracy
    • Logic Lock Regions Requirements for PTP Accuracy in Advanced Mode
  • Added links and updated adaptation flows with soft_tx_rst and soft_rx_rst resets in the following sections:
    • Ethernet Adaptation Flow for 10G/25G and 100G/4x25G Dynamic Reconfiguration Design Example
    • Ethernet Adaptation Flow with PTP or with External AIB Clocking
    • Ethernet Adaptation Flow with Non-external AIB Clocking
  • Updated Reset section:
    • Renamed reset from soft_sys_rst to eio_sys_rst in the Reset Signal Functions table.
    • Added soft_tx/rx_rst signals in the Reset Signal Functions table.
    • Removed i_rsfec_tx_rst_n/i_rsfec_rx_rst_n resets.
    • Revised System Consideration section. Included 10G/25G multi-channel master-slave configuration considerations.
  • Updated 1588 PTP Interface section to include the PTP accuracy mode feature. Revised and added new PTP accuracy mode related signals in the Signals of the Time of Day Interface table.
  • Updated i_clk_ref[n-1:0]/i_clk_ref signals description in the Transceiver Signals table.
  • Updated Clocks section:
    • Revised description of i_sl_clk_tx/rx and i_sl_clk_tx/rx[n] signals in the Clock Inputs table when PTP is enabled.
    • Revised description of i_sl_async_clk_tx[n] and i_sl_async_clk_rx[n] signals in the Clock Inputs table.
    • Added new signals: i_sl_tx_tod[n-1:0], i_sl_rx_tod[n-1:0], and i_clk_ptp_sample.
    • Revised description of the o_clk_pll_div64[n] signal in the Clock Outputs table.
    • Renamed section from 10G/25G Ethernet Channel with PTP, without External AIB Clocking to 10G/25G Ethernet Channel with Basic PTP Accuracy Mode. Added Basic PTP accuracy mode information.
    • Added new topic: 10G/25G Ethernet Channel with Advanced PTP Accuracy Mode.
  • Revised the Async FIFO description in the Clock Connection in Asynchronous FIFO Operation diagram.
  • Revised 0x326 and 0x328 registers description in the RX PCS Status for AN/LT section to include the RX alignment limitation.
  • Corrected the 0xB10 register width in the TX 1588 PTP Registers table.
2020.07.13 20.2 20.1.0 Made the following changes:
  • Corrected parallel clock frequency value for a 10GE data rate variant in the PTP Timestamp Accuracy per Ethernet Data Rate table. The frequency is 402.83 MHz.
  • Added i_reconfig_clk specific note in the Clock Inputs section. The note states that the clock is set to a higher frequency in the simulation of specified variants.
2020.06.29 20.2 20.1.0 Made the following changes:
  • Updated Debug and Testability feature in the E-Tile Hard IP for Ethernet Intel® FPGA IP Features section. Added support for the Ethernet Tool Kit.
  • Updated device family support in the E-Tile Hard IP for Ethernet Intel® FPGA IP Device Family Support table:
    • Intel® Stratix® 10 support moved from Preliminary to Final.
    • Intel® Agilex™ support moved from Advance to Preliminary.
  • Removed <your_ip>.regmap file from the IP Core Generated Files table. The file doesn't appear in the list of IP core generated files.
  • Updated External Time-of-Day Module for Variations with 1588 PTP Feature section.
  • Updated Average Inter-packet Gap description in the Intel® Agilex™ Parameters: IP Tab table and the Inter-Packet Generation and Insertion section.
  • Added clarifying note in the Include deterministic latency measurement interface description. in the IP Parameter section. This feature is for internal use only and should not be enabled.
  • Updated ReadyLatency description in the Intel® Agilex™ Parameters: 100GE Tab. Ready Latency parameter only supports MAC+PCS variant.
  • Updated RTL Parameters section to clarify the access to the RTL generated parameters in simulation and synthesis.
  • Removed 64-bit timestamp format from the following topics:
    • PTP Transmit Functionality
    • PTP Receive Functionality
    • External Time-of-Day Module for 1588 PTP Variations
    • PTP Timestamp and TOD Formats
  • Renamed and removed outdated signals in the PTP Transmit Block Diagram and the PTP Receive Block Diagram figures.
  • Updated the TX and RX PTP Extra Latency section:
    • Added text to inform user to consider SFD and MDI boundaries when calculating TX/RX PTP Extra Latency.
    • Added step to store the calculated TX/RX PTP Extra Latency in the TX/RX_PTP_EXTRA_LATENCY registers.
  • Added a note in the Deterministic Latency Interface section. This feature is for internal use only.
  • Updated signal descriptions in the 1588 PTP Interface section.
  • Added PTP clock assignments in the 10G/25G Ethernet Channel (with PTP and without External AIB Clocking) section.
  • Corrected eio_freq_lock description in the RX CDR PLL Locked register section. Corresponding physical lane's CDR are locked to data.
  • Removed text: The reset values in this table represents register values after a reset has completed. globally. Added the text in the Reconfiguration and Status Register Description section.
  • Added Loopback Mode register in the PHY Registers section.
2020.01.31 19.4 19.4.0 Made the following changes:
  • Updated Parameter Editor Parameters section:
    • Removed Reconfig clock rate parameter in the E-Tile Hard IP for Ethernet Intel® FPGA IP Parameters: IP Tab table.
    • Added Enable Dynamic RSFEC for KR parameter in the E-Tile Hard IP for Ethernet Intel® FPGA IP Parameters: IP Tab table.
    • Added Enable asynchronous adapter clocks parameter in the E-Tile Hard IP for Ethernet Intel® FPGA IP Parameters: 10GE/25GE Tab table.
    • Added Ready latency parameter in the E-Tile Hard IP for Ethernet Intel® FPGA IP Parameters: 10GE/25GE Tab table.
    • Added Enable asynchronous adapter clocks parameter in the E-Tile Hard IP for Ethernet Intel® FPGA IP Parameters: 100GE Tab table.
  • Updated the parallel clock frequency value to 161.132 MHz for 10GE data rate in the PTP Timestamp Accuracy per Ethernet Data Rate table.
  • Updated PTP Transmit Functionality section:
    • Added o_sl_ptp_ets_valid, o_sl_ptp_ets_fp[7:0], and o_sl_ptp_ets[95:0] signals in the Example Waveform for 2-step TX Timestamp using i_sl_ptp_ts_req Signal figure.
    • Added i_sl_ptp_cf_offset and i_sl_ptp_tx_its[95:0] signals in the Example Waveform for 1-step TX Timestamp using i_sl_ptp_ins_cf Signal figure.
    • Accurately updated all signal names.
  • Added new section: TX and RX PTP Extra Latency.
  • Updated o_sl_ptp_rx_its signal's width in the Example Waveform PTP Timestamp on RX PTP Interface figure.
  • Added link to the Ethernet Design Example Components User Guide in the PTP Timestamp and TOD Formats section.
  • Clarified the i_csr_rst_n/i_sl_csr_rst_n reset sequence in the Reset section.
  • Added clarifying notes in the Custom Rate Interface section.
  • Added clarifying notes in the Deterministic Latency Interface section.
  • Updated 1588 PTP Interface section:
    • Added comment clarifying 1-step and 2-step TX/RX timestamp interface signals behavior when Asynchronous mode is enabled.
    • Updated o_sl_tx_ptp_ready[n-1:0] and o_sl_rx_ptp_ready[n-1:0] signals description in the Signals of the PTP Status Interface table.
  • Updated text in the Miscellaneous Status and Debug Signals section.
  • Added three new adaptation flows:
    • Ethernet Adaptation Flow for 10G/25G and 100G/4x25G Dynamic Reconfiguration Design Example
    • Ethernet Adaptation Flow with External AIB Clocking and PTP
    • Ethernet Adaptation Flow with non-external AIB Clocking
  • Updated Clocks section.
    • Updated i_reconfig_clk clock frequency range to 100-125 MHz in the Clock Inputs table.
    • Updated description of i_sl_clk_tx/rx and i_sl_async_clk_tx/rx signals in the Clock Inputs table.
    • Added new section: Asynchronous Adapter Clock in 25G Mode.
    • Added new section: Asynchronous Adapter Clock in 100G Mode.
  • Updated Reset section:
    • Added Intel recommendation details on how to perform reset an IP.
    • Updated the i_csr_rst_n/i_tx_rsn_n, and i_rx_rsn_n signals for RX_FEC and TX_FEC blocks in the Reset Signals Function table
  • Updated Auto Negotiation and Link Training Registers section:
    • Added the rsfec_capable, rsfec_request, anlt_seq_cfg_txinv, and anlt_seq_cfg_rxinv signals in the ANLT Sequencer Config.
    • Added the enable_consortium_next_page_send, enable_consortium_next_page_receive, enable_consortium_next_page_override, ignore_consortium_next_page_tech_ability_code, and consortium_oui signals in the Auto Negotiation Config Register 1.
    • Added the an_next_page and consortium_oui_upper in the Auto Negotiation Config Register 2.
    • Added the consortium_next_page_received, consortium_negotiated_port_type, and rs_fec_negotiated in the Auto Negotiation Status Register.
    • Updated description of ieee_negotiated_port_type in the Auto Negotiation Status Register.
    • Added the user_next_page_low in the Auto Negotiation Config Register5.
    • Added new Auto Negotiation Config Register 6.
    • Updated the an_lp_adv_tech_a in the Auto Negotiation Status Register 5.
    • Updated AN Channel Override register description.
    • Updated description of override_consortium_next_page_tech and override_consortium_next_page_fec_control in the Consortium Next Page Override register.
    • Added new Consortium Next Page Link Partner Status register.
  • Updated flowreg_rate signal in the EHIP TX MAC Feature Configuration section.
2020.01.16 19.3 19.3.0 Made the following changes:
  • Updated tx_clkout and rx_clkout to 161.132 MHz in the Ethernet 25G x 4 (FEC On) Master-Slave Configuration: Dynamic Reconfiguration figure.
  • Updated legend with missing clocks in the Ethernet 25G x 4 (FEC On) Master-Slave Configuration: Dynamic Reconfiguration and Ethernet 25G x 4 (FEC On) Master-Slave Configuration: External AIB Clocking figures.
2019.12.30 19.3 19.3.0 Made the following changes:
  • Added 0x864 ~ 0x869 registers and their descriptions in the Reconfiguration and Status Register Descriptions: TX Statistics Registers section.
2019.11.15 19.3 19.3.0 Made the following changes:
  • Added Intel® Agilex™ device family support.
  • Added Ethernet Link Inspector in the E-Tile Hard IP for Ethernet Intel FPGA IP Features table.
  • Added note clarifying transceiver speed grade -3 support in the E-Tile Hard IP for Ethernet Intel FPGA IP Device Speed Grade Support.
  • Updated IP version, Intel® Quartus® Prime version, and release date in the E-tile Hard IP for Ethernet Intel FPGA IP Current Release Information table.
  • Updated parameters description in the Parameter Editor Parameters section:
    • Enable Auto-Negotiation on Reset
    • Link Fall Inhibit Time
    • Auto-Negotiation Master
    • Advertise both 10G and 25G during AN
    • Enable Link Training on Reset
  • Restructured 1588 Precision Time Protocol Interface section:
    • Updated the PTP Receive Block Diagram to include the RX and TX UI Adjustment block
    • Added new TX and RX Unit Interval Adjustment section
  • Updated PTP timestamp accuracy for 100GE in the PTP Timestamp Accuracy per Ethernet Data Rate table.
  • Updated ordering code from IP-ETH-ETILEHARDIP to IP-ETH-ETILEHIP in the E-tile Hard IP for Ethernet Intel FPGA IP Current Release Information table.
  • Renamed Guidelines and Restrictions section to Guidelines and Restrictions for 24-channel placement variant.
  • Added new section Guidelines and Restrictions for 16-channel placement variant.
  • Added clarification in PTP Transmit Functionality and PTP Receive Functionality sections stating that TX/RX PTP operations start only after the o_sl_rx/tx_ready signal is set.
  • Clarified statement on asserting the external hard reset in the Reset section.
  • Updated statement to include i_sl_tx_rst_n reset for 10G/25G variant in the Reset Sequence.
  • Reworded description of PTP registers in the 1588 PTP Interface section.
  • Updated registers description in the Deterministic Latency Interface section.
  • Updates signal's description in the Signals of the PTP Status Interface table.
  • Updated Reset Sequence with External AIB Clocking section.
  • Added new dynamic reconfiguration clock network use case in the Four 25G Ethernet Channels (with FEC) section.
  • Removed unclear statement from i_reconfig_reset description in the Reset Signals section.
  • Added clarification in the Auto-Negotiation and Link Training section: When enabled, link training supports the initial and continuous adaptation.
  • Added note to clarify that RX recovered clock is not available for PTP channels when PTP enabled, in the Clock Outputs table.
2019.09.18 19.2 19.2.0
  • Updated Variant Selection figure in the About the E-tile Hard IP for Ethernet Intel FPGA IP Core section.
    • Single 25G MAC with PCS and optional 1588PTP and (528,514) RS-FEC is not supported
    • 1 to 4-10GE/25G MAC with PCS and optional 1588PTP and (528,514) RS-FEC is not supported
  • Updated E-Tile Channel Placement Tool link in the Channel Placement section.
  • Updated Example Waveform PTP Timestamp on RX PTP Interface table in the PTP Receive Functionality table.
2019.08.07 19.2 19.2.0
  • Updated Variant Selection figure in the About the E-tile Hard IP for Ethernet Intel FPGA IP Core section.
  • Clarified comments in the MAC TX Datapath and the TX Preamble, Start, and SFD Insertion sections.
  • Removed MAC adapters support for the 100G channel in the E-Tile Hard IP for Ethernet Intel FPGA IP Overview and the Resource Utilization sections.
  • Updated the Release Information section.
  • Updated the Specifying the IP Core Parameters and Options section.
  • Added the Reset Sequence with External AIB Clocking section.
  • Updated the Clock Requirements section.
  • Updated the 100GE with RS FEC Variant section.
  • Added the Two Channels 100GE/25GE with RS-FEC and PTP Variant and the Three Channels 100GE/25GE with RS-FEC and PTP Variant sections.
  • Added link to Intel® Stratix® 10 Device Datasheet in the E-tile Hard IP for Ethernet Intel FPGA IP Device Speed Grade Support section.
  • Updated the Reconfig clock rate parameter description in the E-tile Hard IP for Ethernet Intel FPGA IP Parameters: IP Tab section.
  • Added reset information in the Four 25G Ethernet Channels (with FEC) within the Single FEC block - Master-Slave Configuration: Option 2 section.
  • Updated the Ethernet 25G x 4 (FEC Off) section.
  • Updated the 25G Ethernet Channel (with PTP and External AIB clocking) section.
  • Updated behavior of i_rx_rst_n signal in RX PCS and RX FEC blocks in the Reset Signal Functions table.
  • Renamed the PMA Register Base Addresses table with Transceiver Reconfiguration Interface Register Base Addresses name.
  • Added the PTP Reconfiguration Interface Register Base Addresses table.
  • Updated following bits in Auto Negotiation and Link Training Registers:
    • Removed RX polarity inversion for lane 0 to lane 3 signals anlt_seq_cfg_rxinv in ANLT Sequencer Config Fields register
    • Removed TX polarity inversion for lane 0 to lane 3 signals anlt_seq_cfg_txinv in ANLT Sequencer Config Fields register
    • Removed support for dynamic RS-FEC signals rsfec_request, rsfec_capable in ANLT Sequencer Config Fields register
    • Removed rs_fec_negotiated in Auto Negotiation Status Register
    • Removed consortium_next_page_received in Auto Negotiation Status Register
    • Updated override_an_tech_22_8, bits [23:19] in Auto Negotiation Config Register 5
    • Updated an_lp_adv_tech_a, bits [15:11] in Auto Negotiation Status Register 5
  • Added Minimizing PMA Adaptation Time section in the PMA Registers chapter.
  • Added Ethernet Link Inspector chapter.
2019.05.17 19.1 19.1
  • Added information for Custom PCS variations.
  • Added 1588 PTP feature support for 100G Ethernet rate variations.
  • Added information for external AIB clocking feature support.
  • Added the following parameters in Parameter Editor Parameters section:
    • RSFEC Clocking Mode
    • Enable external AIB clocking
    • Enable JTAG to Avalon Master Bridge
    • Number of PCS Channels in core
    • Custom PCS mode
    • RSFEC Fibre Channel(s) mode
    • Custom PCS Rate
    • PMA modulation type
    • PMA reference clock frequency
    • Enable custom rate regulation
  • Added 312.5 and 644.53125 MHz options for PHY Reference Frequency parameter in E-tile Hard IP for Ethernet Intel FPGA Parameters: 10GE/25GE Tab table.
  • Updated the width of the i_rsfec_reconfig_writedata and o_rsfec_reconfig_readdata RS-FEC reconfiguration signals to 8 bits.
  • Added the following PTP timestamp diagrams in PTP Transmit Functionality and PTP Receive Functionality:
    • Example Waveform for 2-step TX Timestamp using i_ptp_ts_req Signal
    • Example Waveform for 1-step TX Timestamp using i_ptp_ins_ets Signal
    • Example Waveform for for 1-step TX Timestamp using i_ptp_ins_cf Signal
    • Example Waveform PTP Timestamp on RX PTP Interface
  • Added PTP Timestamp Accuracy and Parallel Clock Frequency Support per Ethernet Data Rate table in Implementing a 1588 System That Includes a E-Tile Hard IP for Ethernet Intel FPGA IP Core section.
  • Removed the following diagrams from Implementing a 1588 System That Includes a E-Tile Hard IP for Ethernet Intel FPGA IP Core:
    • Example Ethernet System with Ordinary Clock Master and Ordinary Clock Slave
    • Hardware Configuration Example Using E-Tile Hard IP for Ethernet Intel FPGA IP in a 1588 System in Transparent Clock Mode
    • Software Flow Using Transparent Clock Mode System
    • Example Boundary Clock with One Slave Port and Two Master Ports
  • Added dynamic reconfiguration clock requirements to i_sl_clk_tx/i_sl_clk_tx[n] and i_sl_clk_rx/i_sl_clk_rx[n] in Clock Inputs table.
  • Added the following topics in the Clock Network Use Cases section:
    • 10G Ethernet Channel (with PTP and without External AIB Clocking)
    • 25G Ethernet Channel (with PTP and without External AIB Clocking)
    • 10G Ethernet Channel (with PTP and External AIB Clocking)
    • 25G Ethernet Channel (with PTP and External AIB Clocking)
  • Added RX recovered clock frequency for SyncE support in Clock Outputs table.
  • Added 10/25G Ethernet Channel (with PTP and without External AIB Clocking) and 10/25G Ethernet Channel (with PTP and External AIB Clocking) sections.
  • Added the following bits in Auto Negotiation and Link Training Registers:
    • seq_force_mode in ANLT Sequencer Config
    • anlt_seq_cfg_ilpbk in ANLT Sequencer Config
    • anlt_seq_cfg_txinv in ANLT Sequencer Config
    • anlt_seq_cfg_rxinv in ANLT Sequencer Config
    • kr_pause in ANLT Sequencer Config
    • high_effort_train in Link Training Config Register 1
    • train_start_initpre in Link Training Config Register 1
    • lt_cfg1_disable_rxcal in Link Training Config Register 1
    • lt_cfg1_disable_prxcal in Link Training Config Register 1
    • lt_cfg1_disable_prelt in Link Training Config Register 1
    • lt_cfg1_disable_postlt in Link Training Config Register 1
    • lt_cfg1_ovrd_lf in Link Training Config Register 1
    • lt_cfg1_ovrd_hf in Link Training Config Register 1
    • lt_cfg1_ovrd_bw in Link Training Config Register 1
    • restart_link_training_ln0 in Link Training Config Register 2
    • restart_link_training_ln2 in Link Training Config Register 2
    • restart_link_training_ln3 in Link Training Config Register 2
    • force_tx_nonce_value in Auto Negotiation Config Register 1
    • consortium_oui_upper in Auto Negotiation Config Register 2
  • Added AN Channel Override register.
  • Added Transfer Ready (AIB reset) Status for EHIP, ELANE, and PTP Channels register.
  • Added EHIP, ELANE, and RS-FEC Reset Status register.
  • Removed the following registers:
    • Reference Clock Frequency in KHz
    • Internal Error Vector for RX PCS
    • Internal Error Mask for RX PCS
  • Rebranded Altera Debug Master Endpoint to Native PHY Debug Master Endpoint in the E-Tile Hard IP for Ethernet Intel FPGA IP Parameters: IP Tab table.
2019.04.19 18.1.1 18.1.1 Updated RX Malformed Packet Handling section to clarify that packets with Error bytes are considered as malformed packets.
2019.01.11 18.1.1 18.1.1
  • Added RS-FEC support 25G and 100G Ethernet rate with PCS Only, OTN, and FlexE variations.
  • Updated the Variant Selection figure with new variations.
  • Updated the Resource Utilization for Selected Variations table.
  • Added the following parameters in the E-Tile Hard IP for Ethernet Intel FPGA IP Parameters table:
    • IEEE1588/PTP channel placement restriction
    • First RSFEC Lane
    • Request RSFEC
    • Advertise both 10G and 25G during AN
    • Enable Link Training on Reset
    • Enable Altera Debug Master Endpoint (ADME)
    • Ready latency
  • Added a note to clarify that TX MAC does not support non-contiguous packet transfers in MAC TX Datapath section.
  • Added TX and RX PCS datapath for RS-FEC variant block diagrams in TX PCS and RX PCS Datapath topic.
  • Added 1588 Precision Time Protocol Interfaces topic.
  • Added clock network use cases topic for the following use case:
    • Single 25G Ethernet channel with single FEC block
    • Single 10G Ethernet channel without FEC
    • Four 25G Ethernet channel with single FEC block
    • Four 25G Ethernet channel without FEC
    • 100G Ethernet channel with aggregate FEC
  • Added Guidelines and Restrictions topic to describe supported configurations for multi Native PHY channels with RS-FEC and optional PTP.
  • Added Channel Placement Guidelines and Restrictions.
  • Restructured Functional Description section into MAC, PCS/PCS66, PMA Direct Mode, Auto-Negotiation and Link Training, and TX and RX RS-FEC.
  • Added Determining Link Fault Condition.
  • Added reset sequences in Reset chapter.
  • Changed the following registers to reserved. These registers are not used in the IP core.
    • Asymmetric PTP Latency address 0xA0B
    • TX Extra Latency Information for PTP address 0xA0C
    • TX Extra Latency Information for PTP address 0xA0E
    • RX Extra Latency Information for PTP address 0xB07
    • RX Extra Latency Information for PTP address 0xB08
  • Removed PTP Asymmetric Latency feature support from E-Tile Hard IP for Ethernet Intel FPGA IP table. This feature is not supported in the IP.
2018.08.10 18.0 18.0 Initial release.