Intel® Arria® 10收发器PHY用户指南

ID 683617
日期 11/06/2017
Public

本文档可提供新的版本。客户应 单击此处 前往查看最新版本。

文档目录

5.2.2.6.1. PRBS检查器

可以使用Arria 10伪随机位流(PRBS)检查器来模拟流量和轻松分析高速链路的特征,而无需开发或完全实现协议堆栈的任何上层。Arria 10器件中的PRBS检查器是标准型和增强型数据通路之间的一种共享的硬化型模块。因此,仅有一套控制信号和寄存器使用这一功能。

可以使用PRBS检查器模块验证由PRBS生成器生成的模式。PRBS检查器可以配置成两种宽度的PCS-PMA接口:10位和64位。PRBS9适用于10位和64位PCS-PMA宽度。所有其他的PRBS码型仅适用于64位PCS-PMA宽度。当PCS-PMA接口宽度被配置为10位或64位时,PRBS检查器码型才能被使用。

伪随机位流 (PRBS) 模块验证由 PRBS 生成器生成的模式。该验证器支持 64 位 PCS-PMA 接口。PRBS7仅支持64位宽度。PRBS9支持 10 位 PMA 数据宽度,以便允许在低数据速率下进行测试。

表 253.  支持的PRBS码型
PRBS码型 10位PCS-PMA宽度 64位PCS-PMA宽度
PRBS7: x7 + x6 + 1  

Yes

PRBS9: x9 + x5 + 1

Yes

Yes

PRBS15: x15 + x14 + 1

 

Yes

PRBS23: x23 + x18 + 1

 

Yes

PRBS31: x31 + x28 + 1

 

Yes

图 242. PRBS9 验证串行实现


PRBS检查器具有下列可供FPGA架构使用的控制和状态信号:

  • rx_prbs_done — 指示 PRBS 序列已完成一个完整周期。除非使用rx_prbs_err_clr将它复位,否则它一直保持高电平。
  • rx_prbs_err — 如果发生错误则走高。此信号进行了脉冲扩展,以便能够在 RX FPGA CLK 域中采集到。
  • rx_prbs_err_clr — 用于复位rx_prbs_err信号。

在Quartus Prime软件的Native PHY IP参数编辑器中启用PRBS检查器控制和状态端口。