DSP Builder for Intel® FPGAs (Advanced Blockset): Handbook

ID 683337
Date 12/12/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

14.2.1. Avalon-ST Input (AStInput)

Place this block at the front end of a system to generate the appropriate hw.tcl code for an Avalon Streaming interface with same name as the name of this block.
Table 86.  AStInput Block External Interface Signals
Name Direction Description
sink_channel input Channel number.
sink_data input The data (which may be, or include control data).
sink_eop input Indicates end of packet.
sink_ready output Indicates to upstream components that the DSPBA component can accept sink_data on this rising clock edge.
sink_sop input Indicates start of packet.
sink_valid input Indicates that sink_data, sink_channel, sink_sop, and sink_eop are valid.
Table 87.  AStInput Block Internal Interface Signals
Name Direction Description
input_channel output Channel number.
input_data output The data (which may be, or include control data).
input_eop output Indicates end of packet.
input_ready input indicates from the output of the DSP Builder component that it can accept sink_data on this rising clock edge.
input_sop output Indicates start of packet.
input_valid output indicates that input_data, input_channel, input_sop and input_eop are valid.