Intel® Quartus® Prime Standard Edition User Guide: Debug Tools

ID 683552
Date 9/24/2018
Public
Document Table of Contents

4.2. Scripting Support

You can also run some procedures at a command prompt. For detailed information about scripting command options, refer to the Intel® Quartus® Prime command-line and Tcl API Help browser. To run the Help browser, type the following command at the command prompt:

quartus_sh --qhelp
Note: The Tcl commands in this section are part of the ::quartus::chip_planner Intel® Quartus® Prime Tcl API. Source or include the ::quartus::chip_planner Tcl package in your scripts to make these commands available.