L-Tile和H-Tile收发器PHY用户指南

ID 683621
日期 3/29/2021
Public
文档目录

6.14. 时序收敛建议

在以下情况中,您必须对重配置设置文件关闭时序:
  1. 当多个重配置设置文件没有使能时。您必须手动将所有修改的配置和目标配置的约束包括在内。请参见以下示例:
    图 242. 未使能的多个重配置设置文件
  2. 当多个重配置设置文件使能时,和

    Case 1:需要超过8个配置:

    当已用完Native PHY IP支持的最大数量(8个)的配置。您必须手动将所有修改的配置和目标配置(Native PHY IP支持的8个配置之外的配置)的约束包括在内。
    图 243. 多个重配置文件被使能(n=8)

    Case 2:一些配置是通过禁用的Multiple Reconfiguration Profile生成的。

    图 244. 
注: Native PHY IP,支持高达八个重配置设置文件并对所有路径生成相应的SDC约束。

如果任何修改的配置或目标配置包括对PCS设置的更改,那么Intel建议使能Native PHY IP core中的多个重配置设置文件功能。

如果重配置包括仅对PMA设置的更改(例如:PLL切换,CGB分频器切换和refclk切换),那么使用多个重配置设置文件是可选的。

当支持动态重配置时,您必须:

  • 包括对PCS-FPGA架构接口上所有修改的配置或目标配置创建额外的时钟。基本配置的时候由Quartus Prime软件创建。这些时钟使 Intel® Quartus® Prime Pro Edition能够对所有收发器配置设置文件以及它们对应的FPGA架构内核逻辑模块执行静态时序分析。
  • 在PCS – FPGA架构接口和内核逻辑之间包括了所需要的伪路径。

例如:可以执行动态重配置,使用多个重配置设置文件功能将数据通路从Standard PCS切换到Enhanced PCS。在下面的实例中,基本配置使用Standard PCS (数据速率 = 1.25 Gbps,PCS-PMA宽度 = 10)并驱动FPGA架构中的内核逻辑A。目标配置或修改的配置被配置以使用Enhanced PCS (数据速率 = 12.5 Gbps,PCS-PMA宽度 = 64)并驱动FPGA架构中的内核逻辑B。

图 245. 使用多个重配置设置文件
在上面的示例中,您必须
  • 创建 tx_clkout时钟,此时钟用于对FPGA架构中的内核逻辑B提供时钟。
  • 根据设计中时钟的连接方式,您可能需要包含额外的约束,设置从内核架构中的寄存器到时钟的伪路径。例如,
    set_false_path -from [get_clocks {tx_clkout_enh}] -to [get_registers <Core Logic A>]
    set_false_path -from [get_clocks {rx_clkout_enh}] -to [get_registers <Core Logic A>]
    set_false_path -from [get_clocks {tx_clkout}] -to [get_registers <Core Logic B>]
    set_false_path -from [get_clocks {rx_clkout}] -to [get_registers <Core Logic B>]