L-Tile和H-Tile收发器PHY用户指南

ID 683621
日期 3/29/2021
Public
文档目录

3.12. PLL和时钟网络修订历史

文档版本 修订内容
2021.03.29
  • 实现PLL级联部分中添加了通过ATX PLL的配置接口更新ATX PLL小数乘法因子值的注释。
2020.10.05 作了如下变更:
  • 阐明了ATX PLL到fPLL间距要求规则应用于收发器模式和内核模式下的fPLL。
  • 将以下注释添加到Implementing PLL Cascadingpll_locked端口定义:在ATX PLL到fPLL级联模式中,ATX PLL的pll_locked信号不指示ATX PLL锁定状态。下游fPLL锁定信号指示ATX和fPLL是否都被锁定。
2020.03.03 作了如下变更:
  • 更新了下图以明确rx_clkout是由CDR驱动的。
    • FPGA Fabric—Transceiver Interface Clocking (Standard PCS Example)
    • Transmitter Standard PCS and PMA Clocking
    • Transmitter Enhanced PCS and PMA Clocking
    • Receiver Standard PCS and PMA Clocking
    • Receiver Enhanced PCS and PMA Clocking
  • 阐明了ATX PLL to fPLL Spacing Requirements
  • 阐明了GXT通道的参考时钟必须位于与master ATX PLL相同的三元组中。
  • 添加了注释:"使用主通道的tx_clkout作为源时钟,驱动绑定接口中的所有其他通道的tx_coreclkin端口。"
  • 添加了HCLK Network
  • fPLL IP Core - Parameters, Settings, and Ports中,阐明了Core模式下的fPLL不支持动态重配置功能。
2019.03.22 作了如下变更:
  • 在"fPLL IP Core - Configuration Options, Parameters, and Settings"表中添加了SATA GEN3 and HDMI to the Protocol Mode Range。
2019.01.23 作了如下变更:
  • 添加了一个新要求:GXT通道的参考时钟必须位于与master ATX PLL相同的三元组中。
2018.10.05 作了如下变更:
  • 在"Main and Adjacent ATX PLL IP Instances to Drive 6 GXT Channels"图中添加了GXT Clock缓冲器。
  • 从"ATX PLL Spacing Requirements"表中删除了ATX PLL到ATX PLL间距要求。
  • 更新了"Input Reference Clock Sources"部分中的注释。
  • 在"x6/x24 Bonding"部分中添加了一个注释。
2018.10.04 作了如下变更:
  • 参考时钟网络中添加了"即便tile中的bank的VCCR_GXBVCCT_GXB操作电压是不同的"。
  • x24 Clock Lines中添加了如下内容:

    在一个绑定或非绑定x24组中最多可使用24个通道。当一个收发器tile中的bank以不同的电压上电时(例如:一些bank运行在1.03 V,而其他bank运行在1.12 V),x24时钟线只能在穿过相邻的bank,这些bank在相同的VCCR_GXBVCCT_GXB电压上运行。x24时钟线跨越运行在不同电压上的bank的边界是不允许的。请参考 Intel® Stratix® 10 Device Family Pin Connection Guidelines来了解收发器电源连接指南的说明。

2018.07.06 作了如下变更:
  • 添加了Using the ATX PLL for GXT Channels的注释:如果正在使用相邻的master CGB,那么无法从GX模式到GXT模式重新配置ATX PL。
  • Reference Clock Network中阐明了参考时钟和发送器PLL位置的信息。
  • 添加了Clock Generation Block的注释:如果使用一个主CGB,请勿将相邻的ATX PLL从GX配置为GXT模式。
  • 在ATX PLL, fPLL, and CMU PLL IP Core - Parameters, Settings, and Ports中,对 Avalon® Specification链接添加了"与重配置相关的端口符合 Avalon® Specification。请参考 Avalon® Specification来了解关于这些端口的详细信息"。
2018.03.16 作了如下变更:
  • 在"Implementing x6/x24 Bonding Mode"主题中,将 "x6/x24 Bonding Mode —Internal Channel Connections"图中的CGB模块变为灰色。
  • 在"PLL Instances"部分的描述中将"five fPLL instances"更新成3。
  • 在"ATX PLL IP Core - Parameters, Settings, and Ports"部分中更改了以下参数和端口的描述:
    • Enable mcgb_rst and mcgb_rst_stat ports
    • mcgb_rst
    • mcgb_rst_stat
  • 在"Mix and Match Example"部分中更新了PLL IP Cores and PHY IPs的数据速率和配置设置。将"四个Transceiver Native PHY IP core实例和四个10GBASE-KR PHY IP实例"更新成3个Native PHY IP core实例和2个10GBASE-KR PHY IP实例。
  • 添加了"Lock Detector"模块描述。
  • 请注意:"当使用PMA/PCS绑定时,必须连续放置所有通道。请参考"Channel Bonding"部分来了解详细信息。"已经添加到"Implementing x6/x24 Bonding Mode"部分中。
  • 在"Dedicated Reference Clock Pins"图中删除了时钟级联输入。
  • 在"Transmitter Data Path Interface Clocking"主题中将术语"TX phase compensation FIFO"更新成"TX PCS FIFO"。
  • 添加了实现Single-Channel x1 Non-Bonded Configuration的步骤。
  • 添加了PLL级联的注释:"您可以使用此配置生成一个不能由单一PLL生成的时钟频率。通常用于OTN/SDI应用。"
  • 添加了一个注释:"每个内核时钟网络参考时钟管脚不能驱动位于多个L/H-Tile上的fPLL"。
  • 将图名从"FPGA Fabric—Transceiver Interface Clocking"更改成"FPGA Fabric—Transceiver Interface Clocking (Standard PCS Example)"。
  • 在"Transmitter Data Path Interface Clocking"中增添了"For PCS Direct, the clocking architecture remains the same as Standard PCS"。
  • 更改了"ATX PLL Spacing Requirements"部分中的注释。
  • 重新组织了"Dedicated Reference Clock Pins",更新到当前 Intel® Quartus® Prime Pro Edition名称,进行收发器refclk的QSF编辑。
  • 将"Leave the PLL IP’s tx_serial_clk output port unconnected"添加到"Implementing Multi-Channel x24 Non-Bonded Configuration"中。
  • 澄清了"Multi-Channel x1/x24 Non-Bonded Example"图。
  • 在"Transmit PLL Recommendation Based on Data Rates"中将L-Tile最大数据速率更改成26.6。
  • 澄清了"ATX PLL Spacing Requirements"表。
  • 在"ATX PLL GXT Clock Connection"中将GT更改成GXT。
  • 在"PHY IP Core and PLL IP Core Connection for Multi-Channel x24 Non-Bonded Configuration"中添加了信号名称。
  • 在"Using the ATX PLL for GXT Channels"和"GXT Implementation Usage Restrictions for ATX PLL GX & MCGB"中将"adjacent"更改成"clock buffer"。
  • 更新了"ATX PLL IP Parameter Details for Clock Buffer ATX PLL IP"和"ATX PLL IP Parameter Details for Main ATX PLL IP"图。
  • 从"GXT Clock Network"中删除了L-Tile。
  • 在"FPGA Fabric—Transceiver Interface Clocking (Standard PCS Example)"图中使rx_clkout和tx_clkout能够驱动专用和全局时钟内核网络。
  • 在"PLL Cascading Clock Network"中更改成仅支持 fPLL到fPLL和ATX PLL到fPLL。
2017.08.11 作了如下变更:
  • 在"Dedicated Reference Clock Pins"主题中添加了一个注释:"参考时钟管脚使用厚氧化物,因此不会因热插拔而损坏。"
  • 在Reference Clock Network部分中添加了一个段落:"您只能使用两个高质量参考时钟线用于一个tile中的底部和顶部参考时钟。如果将两个时钟线都用于两个底部参考时钟,那么会出现fitter错误。"
  • 添加了注释"对于L-Tile,每个tile只能有4个GXT"。
2017.06.06 作了如下变更:
  • 不支持反馈补偿绑定。
  • 更新了"ATX PLL Spacing Requirements"表。
  • 添加了新的部分"GXT Implementation Usage Restrictions for ATX PLL GX & MCGB"。
  • 更新了"Reference Clock Network"部分。
  • 增添了一个新图"Main and Adjacent ATX PLL IP Instances to Drive 6 GXT Channels"。
  • 更新了主题"Timing Closure Recommendations"。
2017.03.08 作了如下变更:
  • 更改了"Using the ATX PLL for GXT Channels"部分中的所有注释。
2017.02.17 作了如下变更:
  • 将ATX PLL描述更新成"ATX PLL仅支持小数模式"。
  • 将L Counter描述更新成"受支持的分频因子为1和2"。
  • 将Receiver Input Pins描述更新成"接收器输入管脚可用作收发器PLL的一个输入参考时钟源。然而,它们不能用于驱动内核架构"。
  • 添加了新的部分"ATX PLL Spacing Requirements"。
  • 添加了新的部分"Using the ATX PLL for GXT Channels"。
  • 在相关主题中添加了以下注释:"当fPLL用作一个级联的fPLL (下游fPLL)时,就需要在fPLL上进行用户重新校准。请参考“校准”章节中“用户重新校准”部分来了解更多信息。"
  • 在fPLL IP Core参数表中添加了以下参数:"Message level for rule violations", "Enable /1 output clock", "Enable /2 output clock", "Enable /4 output clock", "PLL integer/fractional reference clock frequency"和"Enable mcgb_rst and mcgb_rst_stat ports"。
2016.12.21 首次发布。