Stratix V Avalon-ST Interface with SR-IOV PCIe Solutions: User Guide

ID 683488
Date 5/02/2016
Public
Document Table of Contents

2.6. Compiling the Example Design with the Quartus® Prime Software

Complete the following steps to create and compile a Quartus® Prime project.

  1. In a terminal window, change to your working directory.
  2. Copy the files from <install_dir>/ ip/altera/altera_pcie/altera_pcie_sriov/hw_devkit/ directory to your working directory.
    These files specify Synopsys Design Constraints, Quartus® Prime design constraints, and top-level connectivity.
  3. On the Quartus® Prime file menu, select the New Project Wizard.
    1. Specify top_hw for the project name.
    2. To specify design constraints, on the Tools menu, select Tcl Scripts.
      The Tcl Script dialog box appears.
    3. Scroll down to select top.tcl. Click run.
      The Quartus® Prime software runs the design constraints.
  4. On the Processing menu, select Start compilation.
    Quartus® Prime compilation begins.