Intel® MAX® 10 FPGA Configuration User Guide

ID 683865
Date 3/27/2023
Public
Document Table of Contents

5.1. Dual Configuration Intel® FPGA IP Core Avalon® Memory-Mapped Address Map

Table 36.  Dual Configuration Intel® FPGA IP Core Avalon® Memory-Mapped Address Map for Intel® MAX® 10 Devices
  • Intel recommends you to set the reserve bits to 0 for write operations. For read operations, the IP core will always generate 0 as the output.
  • Write 1 to trigger any operation stated in the description.
  • You need to trigger the desired operation from offset 2 before any read operation of offset 4, 5, 6 and 7.
Offset R/W Width (Bits) Description
0 W 32
  • Bit 0—Trigger reconfiguration.
  • Bit 1—Reset the watchdog timer.
  • Bit 31:2—Reserved.
Signals are triggered at the same write cycle on Avalon® .
1 W 32
  • Bit 0—Trigger config_sel_overwrite value to the input register.
    • 0: Disable overwrite config_sel pin.
    • 1: Enable overwrite config_sel pin.
  • Bit 1—Writes config_sel value to the input register. Set 0 or 1 to load from configuration image 0 or 1 respectively
    • 0: Load configuration image 0.
    • 1: Load configuration image 1.
  • Bit 31:2—Reserved.
The busy signal is generated right after the write cycle, while the configuration image information is registered. Once the busy signal is high, writing to this address is ignored until the process is completed and the busy signal is de-asserted.
2 W 32
  • Bit 0—Trigger read operation from the user watchdog.
  • Bit 1—Trigger read operation from the previous state application 1 register.
  • Bit 2—Trigger read operation from the previous state application 2 register.
  • Bit 3—Trigger read operation from the input register.
  • Bit 31:4—Reserved.
The busy signal is generated right after the write cycle. These bits are not one-hot. Multiple bits can be set to 1 at the same time to trigger the read operation from multiple registers.
3 R 32
  • Bit 0—IP busy signal.
  • Bit 31:1—Reserved.
The busy signal indicates that the Dual Configuration Intel® FPGA IP core is in the writing or reading process. In this state, all write operation requests to the remote system upgrade block registers are ignored except for triggering the reset timer. Intel recommends you to poll this busy signal once you trigger any read or write process. The busy signal will not stay high for more than 531 clock cycles in each single operation triggered.
4 R 32
  • Bit 11:0—User watchdog value. 17
  • Bit 12—The current state of the user watchdog enable.
  • Bit 16:13—The msm_cs value of the current state.
  • Bit 31:17—Reserved
5 R 32
6 R 32
7 R 32
  • Bit 0—config_sel_overwrite value from the input register.
  • Bit 1—config_sel value of the input register. 18
  • Bit 31:2—Reserved.
17 You can only read the 12 most significant bit of the 29 bit user watchdog value using Dual Configuration IP Core.
18 Reads the config_sel of the input register only. It will not reflect the physical CONFIG_SEL pin setting.