Intel FPGA Integer Arithmetic IP Cores User Guide

ID 683490
Date 10/05/2020
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

8.3. VHDL Component Declaration

The VHDL component declaration is located in the altera_lnsim_components.vhd in the < Intel® Quartus® Prime installation directory>\libraries\vhdl\altera_lnsim directory.