Low Latency Ethernet 10G MAC Intel® Arria® 10 FPGA IP Design Example User Guide

ID 683063
Date 1/11/2022
Public
Document Table of Contents

1.4.1. Procedure

You can compile and test the design in the supported Intel FPGA development kit.
  1. Launch the Intel® Quartus® Prime software and open the design example project file. Select Processing > Start Compilation to compile the design example.
    The timing constraints for the design example and the design components are automatically loaded during compilation.
  2. Connect the development board to the host computer.
  3. Launch the Clock Control application, which is part of the development kit, and set new frequencies for the design example.
    Note: For the frequencies to set, refer to the Hardware Testing section in the respective design example chapter.
  4. In the Intel® Quartus® Prime software, select Tools > Programmer to configure the FPGA on the development board using the generated .sof file.
  5. Reset the system by pressing the PB0 push button.
  6. In the Intel® Quartus® Prime software, select Tools > System Debugging Tools > System Console to launch the system console.
  7. Change the working directory to <Example Design>\hwtesting\system_console .
  8. Initialize the design command list by running this command: source main.tcl.
    Note: For a design example that does not provide the main.tcl file, refer to the Hardware Testing section in the respective design example chapter.
You can now run any of the predefined hardware tests from the System Console.
Observe the test results displayed.