PHY Lite for Parallel Interfaces Intel® FPGA IP User Guide

ID 683716
Date 4/01/2024
Public
Document Table of Contents

2.2.1.2. Output Path

The simplified output path consists of pipeline registers, TX FIFO, shift register, and phase shift blocks. The following figure shows strobe and data coming from the core, together with the related enable signals, go through the pipeline stages before the TX FIFO.

Figure 4. Simplified Output PathThis figure shows the simplified output path for the PHY Lite for Parallel Interfaces Intel® FPGA IP for Agilex™ 5 E-Series devices.
Table 4.  Internal Components of the Simplified Output Path
Component Description
Pipeline Registers Represent pipeline stages in the output path.
TX FIFO Stores the data to be transmitted out.
Shift Register Delays the enable signal at the read side of the TX FIFO at VCO cycle increment.
Phase Shift Delays TX data and strobe at 1/128 of a VCO cycle increments.

There are two types of delay in the output path, namely inherent latency and output delay, TxDqDelay.

Table 5.  Types of Delay in Output Path
Delay Type Description
Inherent latency Static

Captured in the pipeline stages from the assertion of the output enable in the core (group_<n>_oe_from_core[]) until the data go into TX FIFO.

The parameter Additional Write Latency in the IP Parameter Editor is added to the inherent latency.

TxDqDelay delay (output delay) Dynamic You can configure this 11-bit wide register in the control registers. The TxDqDelay register consists of two parts, as described in the next table. The integer part of the delay uses a shift register to delay the enable signal that goes to the read side of TX FIFO.
Table 6.  Output Path Reconfigurable DelaysThis table describes the reconfigurable output path delay.
Feature Description Min Max
TxDqDelay[10:7] Integer number of VCO clock cycles 0 15
TxDqDelay[6:0] Additional phase shift measured in 1/128 of VCO clock period 0 127

The following figure shows an example of TX data transfer in QR DDR. In this illustration, the data_from_core signal for each pin is 8 bits wide. To enable one extra preamble cycle before the data start, the output strobe enable signal, strobe_out_en, should first transition from 0x0 to 0x8 one core clock cycle before the output data enable, oe_from_core, transitions to 0xF as shown in the figure.

Setting the Output strobe phase parameter to 90 degrees in the IP Parameter Editor causes the PHY Lite IP to send the data signal, data_out, center aligned with respect to the output strobe signal, strobe_out.

Figure 5. Output OperationThis figure shows the output operation for the PHY Lite for Parallel Interfaces Intel® FPGA IP for Agilex™ 5 E-Series devices.
Figure 6. Default Output Path SettingsThis figure shows the default output path settings in IP Parameter Editor for the PHY Lite for Parallel Interfaces Intel® FPGA IP for Agilex™ 5 E-Series devices.

The inherent latency in the output path measured from the assertion of output enable in the core until the data appear in the PHY is presented in the following table. The GUI parameter Additional Write latency is added to the inherent latency. The maximum allowed value for this parameter is shown in the third column in the following table.

Table 7.  Output Path Inherent Latency and Maximum Additional Write Latency
I/O Frequency (MHz) Inherent Latency in the Output Path (# of IO Clock Cycles) Maximum Additional Write Latency
600 - 1250 27 15
300 - 600 13 7
150 - 300 7 3