Altera® Quartus® Prime Standard Edition Settings File Reference Manual

ID 683084
Date 5/08/2017
Public
Document Table of Contents

1.2.123. REPORT_PARAMETER_SETTINGS

Specifies whether the synthesis report should include the panels in the Parameter Settings by Entity Instance folder

Old Name

SHOW_PARAMETER_SETTINGS_TABLES_IN_SYNTHESIS_REPORT

Type

Boolean

Device Support

This setting can be used in projects targeting any Altera device family.

Notes

This assignment is included in the Analysis & Synthesis report.

Syntax


		set_global_assignment -name REPORT_PARAMETER_SETTINGS <value>
	

Default Value

On