Altera® Quartus® Prime Standard Edition Settings File Reference Manual

ID 683084
Date 5/08/2017
Public
Document Table of Contents

1.8.23. EDA_IBIS_MUTUAL_COUPLING

Allows you to print the per pin RLC package model with mutual coupling when generating IBIS Output Files (.ibs) with the EDA Netlist Writer. The lumped RLC package model information appears in the IBIS Output File.

Type

Boolean

Device Support

This setting can be used in projects targeting any Altera device family.

Syntax


		set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING -section_id <section identifier> <value>
		set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING -entity <entity name> -section_id <section identifier> <value>
	

Default Value

Off, requires section identifier