Altera® Quartus® Prime Standard Edition Settings File Reference Manual

ID 683084
Date 5/08/2017
Public
Document Table of Contents

1.5.3. CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS

Cuts the paths between registers clocked by unrelated clocks. This option makes the timing analysis reporting similar to MAX+PLUS II timing analysis reporting.

Type

Boolean

Device Support

This setting can be used in projects targeting any Altera device family.

Notes

None

Syntax


		set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS <value>
	

Default Value

On