Altera® Quartus® Prime Standard Edition Settings File Reference Manual

ID 683084
Date 5/08/2017
Public
Document Table of Contents

1.8.11. EDA_EXTRA_ELAB_OPTION

Additional custom simulation elaboration options for one or more simulators.

Type

String

Device Support

This setting can be used in projects targeting any Altera device family.

Notes

The value of this assignment is case sensitive.

This assignment is included in the Fitter report.

Syntax


		set_global_assignment -name EDA_EXTRA_ELAB_OPTION -section_id <section identifier> <value>
		set_global_assignment -name EDA_EXTRA_ELAB_OPTION -entity <entity name> -section_id <section identifier> <value>
	

Default Value

"", requires section identifier