Intel® Stratix® 10 Variable Precision DSP Blocks User Guide

ID 683832
Date 8/13/2021
Public
Document Table of Contents

6.4.1. Native Fixed Point DSP Intel® Stratix® 10 FPGA IP Parameters

Table 25.  General Parameters
Parameter IP Generated Parameter Value Default Value Description
Operation Mode
Select the Operation Mode operation_mode

m18×18_full

m18×18_full_top

m18×18_sumof2

m18×18_plus36

m18×18_systolic

m27×27

m18×18_full Select the desired operational mode.
Multiplier Configuration
Representation format for AX input bus signed_max

signed

unsigned

unsigned Specify the representation format for the top multiplier x operand.
Representation format for AY/AZ input buses signed_may

signed

unsigned

unsigned

Specify the representation format for the top multiplier y operand.

Representation format for BX input bus signed_mbx

signed

unsigned

unsigned Specify the representation format for the bottom multiplier x operand.
Representation format for BY/BZ input buses signed_mby

signed

unsigned

unsigned Specify the representation format for the bottom multiplier y operand.

Always select unsigned for m18×18_plus36 .

Clear Signal Setting
Type of clear signal clear_type

none

aclr

sclr

none

Select aclr to use asynchronous clear signal type for all registers.

Select sclr to use synchronous clear signal type for all registers.

Port Width Setting
How wide should AX input bus be? ax_width 1–27 18 Specify the width of ax input bus.

Refer to Maximum Input Data Width for Fixed-Point Arithmetic.

How wide should BX input bus be? bx_width 1–18 18 Specify the width of bx input bus.

Set this parameter to 0 when using m18x18_full_top mode.

Refer to Maximum Input Data Width for Fixed-Point Arithmetic.

How wide should AY input bus be? ay_scan_in_width 1–27 18 Specify the width of ay or scanin input bus.

Refer to Maximum Input Data Width for Fixed-Point Arithmetic.

How wide should BY input bus be? by_width 1–19 18 Specify the width of by input bus.

Set this parameter to 0 when using m18x18_full_top mode.

Refer to Maximum Input Data Width for Fixed-Point Arithmetic.

How wide should AZ input bus be? az_width 0-18 0 Specify the width of az input bus.

Refer to Maximum Input Data Width for Fixed-Point Arithmetic.

How wide should BZ input bus be? bz_width 0–18 0 Specify the width of bz input bus.

Set this parameter to 0 when using m18x18_full_top mode.

Refer to Maximum Input Data Width for Fixed-Point Arithmetic.

How wide should result A width? result_a_width 1–64 37 Specify the width of resulta output bus.
How wide should result B width? result_b_width 1–37 37 Specify the width of resultb output bus.

This parameter is supported only in m18x18_full mode.

How wide should result scanout port (1) scan_out_width 1–27 0 Specify the width of scanout output bus.
Figure 30. DSP Block ViewEach block is described in the DSP Block View Parameters table.
Table 26.  DSP Block View Parameters
Parameter Value Default Value Description
loadconst

Disable

Enable

Disable

Click the port symbol to enable loadconst port and its input register.

accumulate port (2)

Disable

Enable

Disable Click the port symbol to enable accumlate port and its input register.
negate port (3)

Disable

Enable

Disable Click the port symbol to enable negate port and its input register.
sub port (4)

Disable

Enable

Disable Click the port symbol to enable sub port and its input register.
Top delay register (5)

Disable

Enable

Disable Click to enable the top delay register for ay input bus.

This feature is not supported in m18×18_plus36 and m27x27 operational mode.

Bottom delay register (6)

Disable

Enable

Disable Click to enable bottom delay register for by input bus.

This feature is not supported in m18×18_plus36, m18x18_top_full, and m27x27 operational mode.

Scanout output bus (7)

Disable

Enable

Disable Click to enable scanout output bus.
Input cascade for ay input (8)

Disable

Enable

Disable

Click to enable input cascade module for ay input.

When you enable input cascade module, the Stratix 10 Native Fixed Point DSP IP core uses the scanin input signals as input instead of ay input signal.

Input cascade for by input (9)

Disable

Enable

Disable

Click to enable input cascade module for by input.

When you enable input cascade module, the Stratix 10 Native Fixed Point DSP IP core uses the ay input signals as input instead of by input signal.

Register clock (10)

None

Clock 0

Clock 1

Clock 2

Clock 0

To bypass any register, switch the register clock to None.

Switch the register clock to:
  • Clock 0 to use clk[0] signal as the clock source
  • Clock 1 to use clk[1] signal as the clock source
  • Clock 2 to use clk[2] signal as the clock source
Top pre-adder (11)

Disable

Enable

Disable

Click to enable top pre-adder module.

This uses az input bus as one of the operand source.

To use pre-adder feature, both top and bottom pre-adder modules must be enabled.

Top Pre-adder operation (12)

+

-

+ Click to switch the operation of top preadder between addition and subtraction.
Top coefficient module (13)

Disable

Enable

Disable

Click to enable top internal coefficient module.

To use internal coefficient feature, both top and bottom internal coefficient modules must be enabled.

Bottom pre-adder (14)

Disable

Enable

Disable

Click to enable bottom pre-adder module.

This uses bz input bus as one of the operand source.

To use pre-adder feature, both top and bottom pre-adder modules must be enabled.

Bottom coefficient module (15)

Disable

Enable

Disable

Click to enable bottom internal coefficient module.

To use internal coefficient feature, both top and bottom internal coefficient modules must be enabled.

Bottom Pre-adder operation (16)

+

-

+ Click to switch the operation of bottom preadder between addition and subtraction.
Chainin input bus (17)

Disable

Enable

Disable Click to enable Chainin input bus.
Clock enable for clock 0 (18)

Disable

Enable

Disable Click to create clock enable signal for clock 0.
Clock enable for clock 1 (19)

Disable

Enable

Disable Click to create clock enable signal for clock 1.
Clock enable for clock 2 (20)

Disable

Enable

Disable Click to create clock enable signal for clock 2.
Clear signal for input registers (21)

Disable

Enable

Disable Click to create Clr[0] signal for all input registers.

Use the Type of clear signal parameter to select asynchronous clear or synchronous clear for the input registers.

Clear signal for output and pipeline registers (22)

Disable

Enable

Disable Click to create Clr[1] signal for all output and pipeline registers.

Use the Type of clear signal parameter to select asynchronous clear or synchronous clear for the output and pipeline registers.

Double accumulator module (23)

Disable

Enable

Disable Click to enable double accumulator feature.
Chainout output bus (24)

Disable

Enable

Disable Click to enable Chainout output bus.
Table 27.  Coefficient Configuration
Parameter IP Generated Parameter Value Default Value Description
Load Const Setting
What is the value for loadconst? load_const_value 0 - 63 0 Specify the preset constant value.

This value can be 2N where N is the preset constant value.

Coefficient A Storage Configuration
Coef_a_0 coef_a_0 Integer 0 Specify the coefficient values for ax input bus.

For 18-bit operation mode, the maximum input value is 218 - 1. For 27-bit operation, the maximum value is 227 - 1.

Coef_a_1 coef_a_1
Coef_a_2 coef_a_2
Coef_a_3 coef_a_3
Coef_a_4 coef_a_4
Coef_a_5 coef_a_5
Coef_a_6 coef_a_6
Coef_a_7 coef_a_7
Coefficient B Storage Configuration
Coef_b_0 coef_a_0 Integer 0 Specify the coefficient values for ax input bus.

Set coefficient values to more than 67108864 when operand is set to unsigned and negate is enabled.

Coef_b_1 coef_a_1
Coef_b_2 coef_a_2
Coef_b_3 coef_a_3
Coef_b_4 coef_a_4
Coef_b_5 coef_a_5
Coef_b_6 coef_a_6
Coef_b_7 coef_a_7