Intel® Stratix® 10 Variable Precision DSP Blocks User Guide

ID 683832
Date 8/13/2021
Public
Document Table of Contents

3.1.1. Independent Multiplier Mode

In independent input and output multiplier mode, the variable precision DSP blocks perform individual multiplication operations for general purpose multipliers.

Table 13.  Supported Independent Multiplier Modes in Intel® Stratix® 10 Variable Precision DSP Blocks
Configuration Multipliers per Block
18 (unsigned) x 18 (unsigned) 2
18 (signed) x 19 (signed) 2
27 (signed or unsigned) x 27 (signed or unsigned) 1