Intel® FPGA SDK for OpenCL™: Intel® Stratix® 10 GX FPGA Development Kit Reference Platform Porting Guide

ID 683809
Date 3/28/2022
Public
Document Table of Contents

2.4.1. Constant Address Bridge (constant_address_bridge)

The constant address bridge is the simplest block of the BSP design.

It is an IP with AVMM agent and AVMM host, with all wires in between. This means a direct feed-through except that the address is ignored and the AVMM host always outputs address 0 while also outputting a constant 0x1 burst-count. This is important for the PR IP to ensure that the entire PR bitstream is written to the same target address of the PR IP.