AN 307: Intel® FPGA Design Flow for Xilinx* Users

ID 683562
Date 2/25/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.4.1.5. The Intel® Quartus® Prime Tcl Console Window

You can execute Tcl commands directly in the Intel® Quartus® Prime Tcl Console window. To open the Tcl Console window, click View > Tcl Console.

Tcl Script

This example uses design files from the fir_filter tutorial in tutorial design in the <quartus-installation-directory>/qdesigns directory. The script performs these tasks:

  • Opens the fir_filter project, if it exists. If the project does not exist, the script creates the project.
  • Sets the project to target an Intel® Stratix® 10 1SG280HU2F50E2VG device.
  • Assigns the clk pin to the physical pin AW10.
  • Compiles the project.
# This Tcl file works with quartus_sh.exe
# This Tcl file will compile the Quartus Prime tutorial fir_filter design

# set the project_name to fir_filter
# set compiler setting to filtref
set project_name fir_filter
set csf_name filtref

# Create a new project and open it
# Project_name is project name
if {![project_exists $project_name]} {
project_new -family Stratix10 -part 1SG280HU2F50E2VG -cmp $csf_name $project_name ;
} else {
project_open -cmp $csf_name $project_name;
}

# assign pin clk to pin location AW10
set_location_assignment -to clk PIN_AW10

# The project is compiled here
package require ::quartus::flow
execute_flow -compile

project_close