AN 307: Intel® FPGA Design Flow for Xilinx* Users

ID 683562
Date 2/25/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.3.4.3. Create Timing Constraints with the Timing Analyzer Text Editor

The Intel® Quartus® Prime Timing Analyzer (Tools > Timing Analyzer ) reads and writes timing constrains in the industry-standard Synopsys* Design Constraint (SDC) format. The Timing Analyzer provides a GUI interface that allows you to manually create and modify timing constraints.
Note: Ensure that the project is open before using the Timing Analyzer.
  • To create a new SDC file from the Timing Analyzer, click File > New SDC File.
  • To apply templates for SDC constraints, click Edit > Insert Template command.

    The Timing Analyzer Text Editor uses syntax coloring for SDC reserved words and comments.

    Figure 6.  Timing Analyzer Text Editor