AN 307: Intel® FPGA Design Flow for Xilinx* Users

ID 683562
Date 2/25/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.4.1.4. Running Tcl Commands Interactively from the Shell

Using the -s or --shell switch option starts an interactive Tcl shell session, replacing the normal command line prompt with tcl, as shown in the following example:
  1. In a console, type:
    quartus_sh -s
    When entering the console, you get the welcome message:
    Info: *******************************************************************
    Info: Running Quartus Prime Shell
    Info: Version 17.1.0 Internal Build 167 08/21/2017 SJ Pro Edition
    Info: Copyright (C) 2017 Intel Corporation. All rights reserved.
    Info: Your use of Intel Corporation's design tools, logic functions
    Info: and other software and tools, and its AMPP partner logic
    Info: functions, and any output files from any of the foregoing
    Info: (including device programming or simulation files), and any
    Info: associated documentation or information are expressly subject
    Info: to the terms and conditions of the Intel Program License
    Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
    Info: the Intel FPGA IP License Agreement, or other applicable license
    Info: agreement, including, without limitation, that your use is for
    Info: the sole purpose of programming logic devices manufactured by
    Info: Intel and sold by Intel or its authorized distributors. Please
    Info: refer to the applicable agreement for further details.
    Info: Processing started: Thu Aug 31 12:50:32 2017
    Info: *******************************************************************
    Info: The Quartus Prime Shell supports all TCL commands in addition
    Info: to Quartus Prime Tcl commands. All unrecognized commands are
    Info: assumed to be external and are run using Tcl's "exec"
    Info: command.
    Info: - Type "exit" to exit.
    Info: - Type "help" to view a list of Quartus Prime Tcl packages.
    Info: - Type "help <package name>" to view a list of Tcl commands
    Info: available for the specified Quartus Prime Tcl package.
    Info: - Type "help -tcl" to get an overview on Quartus Prime Tcl usages.
    Info: *******************************************************************
    tcl>
  2. Enter any Tcl command.

    The Intel® Quartus® Prime Tcl interpreter directly evaluates everything that you type in the Tcl shell.

The Tcl shell includes a history list of previously-entered commands.