Intel® FPGA SDK for OpenCL™: Intel® Arria® 10 GX FPGA Development Kit Reference Platform Porting Guide

ID 683267
Date 3/28/2022
Public
Document Table of Contents

5. Document Revision History for the Intel Arria 10 GX FPGA Development Kit Reference Platform Porting Guide

Table 20.  Document Revision History of the Intel Arria 10 GX FPGA Development Kit Reference Platform Porting Guide
Document Version Intel® Quartus® Prime Version Changes
2022.03.28 19.1
  • Updated the terms master and slave to host and agent, respectively.
2019.04.18 19.1
2019.02.11 18.1
Table 21.  Document Revision History of the Intel Arria 10 GX FPGA Development Kit Reference Platform Porting Guide
Date Version Changes
November 2017 2017.11.03
May 2017 2017.05.08 Replaced references to ACL_QSH_COMPILE_CMD with ACL_DEFAULT_FLOW.
October 2016 2016.10.31
  • Rebranded Altera SDK for OpenCL to Intel® FPGA SDK for OpenCL™ .
  • Rebranded Altera Offline Compiler to Intel® FPGA SDK for OpenCL™ Offline Compiler.
  • Changed the short-form name of the Reference Platform from altera_a10pciedk to a10_ref, to match the directory name in the SDK.
  • Added notice that you must contact your field applications engineer or regional support center representative to configure the Arria 10 GX FPGA Development Kit before using it with the SDK.
  • Removed the a10gx_es2 and the a10gx_es3 board variants from the Reference Platform. The a10_ref Reference Platform only supports the a10gx board variant.
  • In Contents of the Arria 10 GX FPGA Development Kit Reference Platform:
    • For Windows, changed the source_windows64 directory to source.
    • Updated the list of files available in the a10gx subdirectory.
    • Removed information for the max5_133.pof file.
  • Removed statement regarding PR being an early-access feature.
  • Updated the location of the acl_ddr4_a10.qsys and acl_ddr4_a10_core.qsys files from the a10gx/ip directory to the top-level a10gx directory. The board.qsys, acl_ddr4_a10.qsys, and acl_ddr4_a10_core.qsys systems were migrated to Qsys Pro.
  • In the ip subdirectory, added .ip files that contain parameters of instantiated external OpenCL IP. Refer to Contents of the Arria 10 GX FPGA Development Kit Reference Platform for more information.
  • Added an opencl_bsp_ip.qsf file so that qsys_archive in Qsys Pro can insert .qsys and .ip files into this revision. All Verilog and Qsys source files from top.sdc and top_post.sdc are now in opencl_bsp_ip.qsf.
  • In Modifying the Arria 10 GX FPGA Development Kit Reference Platform Design, added a step to update the device.tcl file with the correct settings.
  • In Changing the Device Part Number:
    • Noted that the QSF setting for the device part number is now in device.tcl instead of flat.qsf The following device-specific assignments are now in device.tcl:
      • FAMILY, MIN_CORE_JUNCTION_TEMP, MAX_CORE_JUNCTION_TEMP, DEVICE_FILTER_PACKAGE, DEVICE_FILTER_PIN_COUNT, ERROR_CHECK_FREQUENCY_DIVISOR, STRATIX_DEVICE_IO_STANDARD, RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP, RESERVE_DATA0_AFTER_CONFIGURATION
    • Noted that the device part number must be updated in acl_ddr4_a10.qsys and acl_ddr4_a10_core.qsys, in addition to board.qsys.
  • In Guaranteeing Timing Closure in the Arria 10 Custom Platform and Generating the base.qdb Post-Fit Netlist for Your Arria 10 Custom Platform, noted that base.sdc must be copied along with base.qdb and pr_base_id.txt into the Custom Platform.
  • In Floorplan, updated the floorplan of the a10_ref Reference Platform.
  • In Provide a Timing-Closed Post-Fit Netlist, removed the QSF assignments that enabled the Spectra-Q engine compilation flow for base and top revision compilations. The base.qsf file no longer needs to be updated in order to enable the flow.
  • In Enabling the Quartus Prime Spectra-Q Forward_Compatibility Flow:
    • Modified the Quartus Prime software command to be added to the post_flow_pr.tcl script to generate the forward-compatible base.qdb file.
    • Removed the step of modifying the quartus.ini file because it is no longer needed.
  • In Quartus Prime Compilation Flow for Board Developers, modified the list of tasks that are performed when the quartus_sh --flow compile top -c base command was invoked because the process would no longer run the pre_flow_pr.tcl script.
  • In the top.qpf file, reorganized the order of the revisions to opencl_bsp_ip, flat, base, top_synth, and then top. In addition , removed old references to Intel® Quartus® Prime software version 15.1
  • Modified top_post.sdc file to reflect Qsys Pro RTL hierarchy changes
  • To facilitate Partial Reconfiguration:
    • Added set_global_assignment -name REVISION_TYPE PR_BASE to the base.qsf file
    • Added set_global_assignment -name REVISION_TYPE PR_BASE to the top_synth.qsf file
    • Added set_global_assignment -name REVISION_TYPE PR_IMPL to the top.qsf file
    • In the quartus.ini file, removed the following lines:
      • qhd_enable_pr_bak_export=on
      • pr_allow_lims_on_globals_user_guarantee_frozen_high=on
      • apl_use_advanced_pcl=off
      • qhd_force_bak_export=on and
      • hd_force_bak_import=on
  • In the flat.qsf file:
    • Removed the wildcarded LREGION assignments for pipe_stage_dma* and pipe_stage_pcie_* and the commented GLOBAL_SIGNAL assignments.
    • Added the line PR_ALLOW_GLOBAL_LIMS ON -to freeze_wrapper_inst|kernel_system_clock_reset_reset_reset_n
    • Changed the GLOBAL_SIGNAL assignment to kernel clocks
July 2016 2016.07.29
  • Maintenance release.
  • In Arria 10 GX FPGA Development Kit Reference Platform Board Variants and Initializing Your Arria 10 Custom Platform, added reminder to match the board variant with the status of the Arria® 10 device on your board.
May 2016 2016.05.09
  • Modified content to reflect the creation of the base.qdb file in lieu of the base_qhd.qar file.
  • Modified content to reflect the implementation of the flat.qsf file, which contains all the common QSF assignments shared among the base.qsf, top.qsf, and top_synth.qsf files. Use the flat revision for compilation flows that cannot use PR and do not require guaranteed timing. Because the flat revision is included in both the base and top revisions, use the flat revision to expand your design (for example, to attach extra DDR memory banks on your board).
  • Modified content to reflect the updated functionality of the pre_flow_pr.tcl and post_flow_pr.tcl scripts.
  • Updated the command you run to execute the base revision compilation

    from quartus_sh -t base_compile.tcl

    to quartus_sh --flow compile top -c base.

    This update enables you to compile the design from the Quartus Prime Pro Edition software GUI.

  • Removed the ip/acl_kernel_clk_a10/acl_kernel_clk_a10.qsys and ip/acl_temperature_a10/<file_name> files from the Reference Platform because the acl_kernel_clk_a10 and acl_temperature_sensor_a10 IP are now part of the Altera SDK for OpenCL.

    Use the IPs from AOCL instead of duplicating them in your Custom Platform. A check is in place to verify that these IPs are not duplicated in your Custom Platform.

  • The guaranteed timing flow is now part of AOCL. To avoid duplication, removed the following files from the Reference Platform:
    • adjust_plls.tcl, which creates the PLL configuration file and modifies the PLL atoms
    • pr_checks.tcl, which checks for initialized MLABs
  • Removed information on the following legacy files; they are no longer part of the Reference Platform:
    • hardware/<board_name>/base_compile.tcl
    • hardware/<board_name>/base_qhd.qar
    • hardware/<board_name>/system.qsys
    • scripts/call_script_as_function.tcl
    • scripts/create_pr_base_id.tcl
  • Added memory hierarchy in board.qsys:
    • The DDR4 subsystem is now in a separate IP located in the ip/acl_ddr4_a10 directory
    • The DDR4 core and pipeline stages are not in separate Qsys systems
  • In Describe the Arria 10 GX FPGA Development Kit Reference Platform Hardware to the AOCL, updated the example Fitter Partition Statistics report and the explanation on how to calculate used_resources for alms.
  • Under Quartus Prime Compilation Flow and Scripts, added the section Enabling the Quartus Prime Spectra-Q Forward-Compatibility Flow.

    Modified the import_compile.tcl file and added INI settings to quartus.ini and base.qsf to enable the Forward Compatibility flow. Support for the Forward Compatibility flow is preliminary. Refer to the Altera SDK for OpenCL version 16.0 Release Notes for more details.

December 2015 2015.12.21 Initial release.