Nios® V Embedded Processor Design Handbook

ID 726952
Date 4/04/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2.3.2.2. Generating the Nios® V/m Processor Example Design Using the Command-Line Interface

Alternatively, you can generate the example design system with the following commands:

  1. Launch the Nios® V Command Shell.
    <Intel Quartus Prime installation directory>/niosv/bin/niosv-shell
  2. Generate the example design.
    ip-deploy --component-name=intel_niosv_m --output-name=niosv_m.ip
    
    qsys-generate niosv_m.ip --example_design=niosv_m.hello_world_example_design
    unzip <Design ZIP file>
  3. Generate the Platform Designer system.
    qsys-script --script=create_qsys.tcl --quartus-project=top.qpf
  4. Perform the hardware compilation.
    quartus_sh --flow compile top