Parallel Flash Loader Intel® FPGA IP User Guide

ID 683698
Date 7/23/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.4.2.1. Constraining Clock Signal

At any given time, one of the following two clock sources clocks the blocks and modules of the PFL IP core:

  • Clock signals from the pfl_clk ports of the PFL during FPGA configuration
  • TCK pins of the JTAG programming interface during flash programming

The clock signal on the TCK pins is internally constrained to the maximum frequency supported by the selected JTAG programming hardware. Constraining the clock signal is not mandatory.

The pfl_clk generates fpga_dclk according to the ratio between input clock and DCLK output clock, a PFL parameter during FPGA configuration

You can constrain pfl_clk to the maximum frequency that the PFL IP core supports. You can use the create_clock command or the Create Clock dialog box to specify the period and duty cycle of the clock constraint.

You can constrain fpga_dclk using create_generated_clock command or the Create Generated Clock dialog box to specify the relationship to source based on the ratio between input clock and DCLK output clock.

Constraining pfl_clk signal in the Timing Analyzer

To constrain the pfl_clk signal in the Timing Analyzer, follow these steps:

  1. Run full compilation for the PFL design. Ensure that the timing analysis tool is set to Timing Analyzer.
  2. After full compilation completes, on the Tools menu, select Timing Analyzer to launch the Timing Analyzer window.
  3. In the Tasks list, under Diagnostic, click Report Unconstrained Paths to view the list of unconstrained parts and ports of the PFL design
  4. In the Report list, under Unconstrained Paths, click Clock Summary to view the clock that requires constraints. The default setting for all unconstrained clocks is 1 GHz. To constrain the clock signal, right-click the clock name and select Edit Clock Constraint.
  5. In the Create Clock dialog box, set the period and the duty cycle of the clock constraint
  6. Click Run

Constraining fpga_dclk signal in the Timing Analyzer

To constrain the fpga_dclk signal in the Timing Analyzer, follow these steps:

  1. Run full compilation for the PFL design. Ensure that the timing analysis tool is set to Timing Analyzer.
  2. After full compilation completes, on the Tools menu, select Timing Analyzer to launch the Timing Analyzer window.
  3. In the Tasks list, click Update Timing Netlist, to include newly added clocks, constraints and exceptions.
  4. On the Constraint menu, select Create Generated Clock to launch the dialog box.
  5. In the Create Generated Clock dialog box, set the relationship to source of the clock constraint.
  6. Click Run
  7. If input clock to DCLK output clock ratio more than 1, open the data window using Set Muticycle Path on the Constraint menu.