Parallel Flash Loader Intel® FPGA IP User Guide

ID 683698
Date 7/23/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.4.2.4. Summary of PFL Timing Constraints

Table 8.  PFL Timing Constraints
Type Port Constraint Type Delay Value
Input clock pfl_clk create_clock Can be constrained up to the maximum frequency supported by the PFL IP core.
Input asynchronous pfl_nreset set_false_path
fpga_pgm set_false_path
fpga_conf_done set_false_path
fpga_nstatus set_false_path
pfl_flash_access_granted set_false_path
pfl_nreconfigure set_false_path
Output asynchronous fpga_nconfig set_false_path
pfl_flash_access_request set_false_path
flash_nce set_max_delay -from pfl_clk -to <port> Determined by

Taccess/Tpage_access

and board delay
flash_nwe set_false_path
flash_noe set_false_path
flash_addr set_max_delay -from pfl_clk -to <port> Determined by

Taccess/Tpage_access

and board delay
Bidirectional synchronous flash_data
  • Read mode (Flash ROM to PFL)

    set_max_delay -from <port> to pfl_clk

  • Write mode (PFL to Flash ROM) set_false_path
Read mode:

Determined by

Taccess/Tpage_access and board delay
Output synchronous fpga_data set_output_delay -clock fpga_dclk <port> Determined by board delay and TSU/TDH of the FPGA
Output Clock fpga_dclk
  • Input clock to DCLK ratio = 1 create_generated_clock -source pfl_clk -invert <fpga_dclk port>

  • Input clock to DCLK ratio >1 create_generated_clock -source pfl_clk -divide_by <ratio> <*fpga_dclk_reg at register>

    create_generated_clock -source <*fpga_dclk_reg at register> <fpga_dclk at port>
Input clock to DCLK ratio >1:
Set muticycle path using launch clock
  • Setup relationship by half of ratio
  • Hold relationship by ratio -1