Agilex™ 7 General-Purpose I/O User Guide: M-Series

ID 772138
Date 3/22/2024
Public
Document Table of Contents

6.7.2. Delay Elements

The Quartus® Prime software does not automatically set delay elements to maximize slack in the I/O timing analysis. To close the timing or maximize slack, set the delay elements manually in the Quartus® Prime settings file (.qsf).
Table 55.  Delay Elements .qsf AssignmentsSpecify these assignments in the .qsf to access the delay elements.
Delay Element .qsf Assignment
Input Delay Element set_instance_assignment –to <PIN> -name INPUT_DELAY_CHAIN <0..63>
Output Delay Element set_instance_assignment –to <PIN> -name OUTPUT_DELAY_CHAIN <0..15>
Output Enable Delay Element set_instance_assignment –to <PIN> -name OE_DELAY_CHAIN <0..15>