Intel® Quartus® Prime Pro Edition User Guide: Debug Tools

ID 683819
Date 10/13/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2.3.1. Creating a Signal Tap Instance with the Signal Tap GUI

When you define one or more Signal Tap instances in the GUI, Signal Tap stores the trigger and signal configuration settings in a Signal Tap Logic Analyzer File (.stp). You can open a .stp to reload that Signal Tap configuration.
  1. Open a project and run Analysis & Synthesis on the Compilation Dashboard.
  2. To create a Signal Tap instance with the Signal Tap logic analyzer GUI, perform one of the following:
    • Click Tools > Signal Tap Logic Analyzer.
    • Click File > New > Signal Tap Logic Analyzer File.
    Figure 22.  Signal Tap file Templates
  3. Select a Signal Tap file template. The Preview describes the setup and Signal Configuration the template applies. Refer to Signal Tap File Templates.
  4. Click Create. The Signal Tap logic analyzer GUI opens with the template options preset for the Signal Tap instance.
  5. Under Signal Configuration, specify the acquisition Clock and optionally modify other settings, as Step 2: Configure the Signal Tap Logic Analyzer describes.
  6. When you save or close the Signal Tap instance, click Yes when prompted to add the Signal Tap instance to the project.