AN 307: Intel® FPGA Design Flow for Xilinx* Users

ID 683562
Date 3/20/2018
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.3.12. Simulation

Both the Xilinx* Vivado* and the Intel® Quartus® Prime Pro Edition software support integration with third-party EDA simulation tools, such as Mentor Graphics* ModelSim* , Cadence NC-Sim, and Synopsys* VCS. In addition, the Intel® Quartus® Prime Pro Edition software supports the Aldec Active-HDL and Riviera-PRO simulation tools.
Table 22.  Simulation Support Comparison
GUI Feature Xilinx* Vivado* Software Intel® Quartus® Prime Pro Edition Software
Simulation Vivado* Simulator ModelSim* - Intel® FPGA Starter Edition
Third-Party Simulation Tools Third-Party Simulation Tools

Access

To specify third-party simulation tools in the Intel® Quartus® Prime Pro Edition software:
  1. Click Assignments > Settings.
  2. In Category, click EDA Tool Settings.
  3. Under Simulation select the simulation tool.

You can also specify third-party simulation tools in the New Project Wizard.