AN 985: Nios® V Processor Tutorial

ID 784468
Date 5/15/2024
Public
Document Table of Contents

1.2.2.2.3. Pin Assignments

  1. In Quartus® Prime software, navigate to Processing menu bar and click Start > Start Analysis & Elaboration.
  2. Once the analysis is complete, navigate to Assignments menu bar and click Pin Planner. For this example, there are 2 pins assignments:
    • clk_clk assigned to PIN_U52 (FPGA_SYSTEM_CLK)
    • reset_reset_n assigned to PIN_G52 (FPGA_SYS_RESETn)
  3. Close Pin Planner, and return to the project front page.