Serial Lite III Streaming Intel® FPGA IP User Guide

ID 683330
Date 11/01/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.4.2. Parameter Settings for Intel® Stratix® 10 Devices

Table 10.   IP
Parameter Value Default Description
General Design Options

Direction

Source, Sink, Duplex

Duplex

Select the variation of the IP. Supports source, sink, or full duplex transmissions.

Note: Sink or source only variant is not supported when you select E-Tile as the transceiver tile in Intel® Quartus® Prime Pro Edition v18.1.

Number of lanes

1–24

6

Specifies the number of lanes (equal to physical transceiver links) that are used to transfer the streaming data.

Meta frame length in words

200–8191

200

Specifies the metaframe length.

Transceiver reference clock frequency <Range supported by the transceiver PLLs>

312.5 MHz

Supports multiple transceiver reference clock frequencies for flexibility in the oscillator and PLL choices. This transceiver reference clock frequency must match the external PLL reference clock frequency for Intel® Stratix® 10 L-tile/H-tile devices.
Note: Transceiver reference clock is limited to 500 MHz when you select E-Tile as the transceiver tile in Intel® Quartus® Prime Pro Edition v18.1.
VCCR_GXB and VCCT_GXB supply voltage for the Transceiver

1_1V,

1_0V

1_0V

Select VCCR_GXB and VCCT_GXB supply voltages.

Refer to Intel® Stratix® 10 Device Family Pin Connection Guidelines for more information related to these pins.

Note: This parameter is not available when you select E-Tile as the transceiver tile.
Transceiver channel type

GX,

GXT

GX

Select the transceiver channel variant.

Select GXT as the transceiver variant to implement data rate more than 17.4 Gbps.
Note: This parameter is not available when you select E-Tile as the transceiver tile.
Enable M20K ECC support Yes/No No Select to use error correcting code (ECC) protection to strengthen the FIFO buffers from single-event upset (SEU) changes. Enables built-in error correcting code (ECC) support on the M20K embedded block memory for single-error correction, double-adjacent-error correction, and triple-adjacent-error detection.
Transceiver Tile

L-Tile,

H-Tile,

E-Tile

<Depending on the transceiver tile supported in the chosen device. For Intel® Stratix® 10 devices which support H-Tile and E-Tile, the default value is H-Tile. Reports the actual transceiver tile. The value changes according to the transceiver crete tile chosen in the device.
User Interface
Streaming Mode BASIC, FULL FULL Specifies the streaming mode.
  • BASIC: This is a pure streaming mode where data is sent without burst, sync, empty cycle, and frame delimiter to increase bandwidth.
  • FULL: This mode sends a burst and sync cycle at the start of frame and a burst and empty cycle at the end of frame. Provide a gap of one empty cycle between two data frames.
Required idle cycles between bursts 1, 2 2 Supports two values to optimize for bandwidth efficiency or maintain backward compatibility with existing Serial Lite III Streaming IPs (legacy).
  • 1: Recommended for high bandwidth streaming. The same Burst Gap setting must be set for both source and sink IP core.
  • 2: For backward compatibility with Quartus II version 15.1 and older sink IP core.
Adaptation FIFO partial full threshold 8 - 18 15

Specifies the partial full threshold of the transmit FIFO. ready_tx signal will de-assert when data reaches this level in the FIFO.

Clocking mode

Standard clocking mode,

Advanced clocking mode

Standard clocking mode

Specifies the clocking mode.

Refer to Serial Lite III Streaming Intel FPGA IP Clocking Guidelines for more information.

User input

User clock frequency,

Transceiver data rate

User clock frequency

Select User clock frequency to specify the user clock input and allow the IP to determine the transceiver data rate.

Select Transceiver data rate to specify the desired data rate and allow the IP to determine the user clock frequency.

User clock frequency required

Minimum: 50 MHz

Maximum: Limited by the supported transceiver data rates

177.556818 MHz

Specifies the desired frequency for the user clock input for the transmit (Standard Clocking Mode and Advanced Clocking Mode) and receive user interface (Standard Clocking Mode).

This frequency in turn determines the required transceiver data rate to support the calculated transmit and receive bandwidths.

Transceiver data rate

required user clock frequency * overheads * 64

12.5 Gbps

The effective data rate at the output of the transceivers, incorporating transmission and other overheads.

The parameter editor automatically calculates this value by adding the input data rate with transmission overheads to provide you with a selection of user clock frequency.

Aggregate user bandwidth

number of lanes * required user clock frequency * 64

68.18 Gbps

This value is derived by multiplying the number of lanes and user interface data rate.

IP Debug and Phy Dynamic Reconfiguration

Table 11.  Native Transceiver Phy
Parameter Value Default Description
Dynamic Reconfiguration
Enable dynamic reconfiguration On On Enables the dynamic reconfiguration interface.
Note: This parameter is enabled by default and can not be disabled.
Enable Altera Debug Master Endpoint On/Off Off Enables ADME and Optional Reconfiguration Logic parameters of the L-Tile/H-Tile/E-Tile Transceiver Native PHY Intel® Stratix® 10 FPGA IP.
Optional Reconfiguration Logic
Enable capability registers On/Off Off Enables capability registers that provide high level information about the configuration f the transceiver channel.
Set user-defined IP identifier User-defined 0 Sets a user-defined numeric identifier that can be read from the user_identifier offset when the capability registers are enabled.
Note: To set the value, enabled the Enable capability registers parameter.
Enable control and status registers On/Off Off Enables soft registers to read status signals and write control signals on the PHY interface through the embedded debug.
Enable Pseudo Random Binary Sequence (PRBS) soft accumulators On/Off Off Enables soft logic for performing PRBS bit and error accumulation when the hard PRBS generator and checker are used.
Note: This parameter is not available when you select E-Tile as the transceiver tile.

For information about parameters in the PMA Adaptation tab, please refer to the PMA Adaptation topic in the Intel® Stratix® 10 E-Tile Transceiver PHY User Guide.

Note: The PMA Adaptation tab is only available in Intel® Stratix® 10 with E-tile transceiver devices. You must select E-Tile as the Transceiver Tile to use the parameters in the PMA Adaptation tab.