AN 738:Intel® Arria® 10器件设计指南

ID 683555
日期 6/30/2017
Public
文档目录

1.7.4.1. 建议的时序优化和分析约束

表 58.  建议的时序优化和分析约束检查表
编号 是否完成? 检查表项目
1   Settings对话框中的Fitter Settings页面上,开启Optimize multi-corner timing
2   使用create_clockcreate_generated_clock命令来对您设计中所有时钟指定频率和关系。
3   使用set_input_delayset_output_delay指定外部器件或电路板时序参数。
4   使用derive_pll_clocks根据PLL IP内核中的设置为所有的PLL输出创建生成时钟。为LVDS发送器或接收器解串因数指定多个周期关系。
5   使用derive_clock_uncertainty自动应用inter-clock、intra-clock和I/O接口不确定性。
6   使用check_timing命令来生成设计或者应用约束问题报告,包括缺失的约束。

本节介绍的约束和设置对于大型设计(例如 Arria® 10器件中的设计)非常重要。

Optimize multi-corner timing选项开启时,会对设计进行优化,以满足其在所有时序过程角(timing process corner)和运行条件下的时序要求。因此,打开此选项可帮助创建在各种PVT形式下更稳健的设计实现。

在您的TimeQuest Timing Analyzer .sdc约束文件中,对您的设计应用建议的约束: