AN 985: Nios® V Processor Tutorial

ID 784468
Date 5/15/2024
Public
Document Table of Contents

1.2.2.2.2. Adding Synopsys Design Constraint (SDC) File

  1. Click New, select Synopsys Design Constraint File and click OK.
  2. Add the following constraint:
    create_clock -name clk -period 10.0 [get_ports clk_clk]
  3. Save as niosv_top.sdc.