Intel® Quartus® Prime Standard Edition User Guide: Third-party Simulation

ID 683080
Date 11/07/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.7.1. Setting Up NativeLink Simulation ( Intel® Quartus® Prime Standard Edition)

Before running NativeLink simulation, specify settings for your simulator in the Intel® Quartus® Prime software.
To specify NativeLink settings in the Intel® Quartus® Prime Standard Edition software, follow these steps:
  1. Open an Intel® Quartus® Prime Standard Edition project.
  2. Click Tools > Options and specify the location of your simulator executable file.
    Table 6.  Execution Paths for EDA Simulators
    Simulator Path
    Questa* Intel® FPGA Edition <drive letter>:\<simulator install path>\ (Windows)

    /<simulator install path>/bin (Linux)

    Siemens EDA QuestaSim <drive letter>:\<simulator install path>\ (Windows)

    <simulator install path>/bin (Linux)

    Synopsys VCS/VCS MX <simulator install path>/bin (Linux)
    Cadence Incisive Enterprise/Xcelium <simulator install path>/tools/bin (Linux)
    Aldec Active-HDL Aldec Riviera-PRO <drive letter>:\<simulator install path>\bin (Windows)

    <simulator install path>/bin (Linux)

  3. Click Assignments > Settings and specify options on the Simulation page and the More NativeLink Settings dialog box. Specify default options for simulation library compilation, netlist and tool command script generation, and for launching RTL or gate-level simulation automatically following compilation.
  4. If your design includes a testbench, turn on Compile test bench. Click Test Benches to specify options for each testbench. Alternatively, turn on Use script to compile testbench and specify the script file.
  5. To use a script to setup a simulation, turn on Use script to setup simulation.