Intel® Quartus® Prime Standard Edition User Guide: Third-party Simulation

ID 683080
Date 11/07/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2. Questa* Intel® FPGA Edition, ModelSim® , and Questa* Simulator Support

You can include your supported EDA simulator in the Intel® Quartus® Prime design flow. This document provides guidelines for simulation of designs with ModelSim or Questa simulators. The entry-level Questa* Intel® FPGA Edition simulator includes precompiled simulation libraries.
Note:

The current version of Questa* Intel® FPGA Edition software supports native, mixed-language (VHDL/Verilog HDL/SystemVerilog) co-simulation of plain text HDL. If you have a VHDL-only simulator, you can use the Questa* Intel® FPGA Edition software to simulate Verilog HDL modules and IP cores. Alternatively, you can purchase separate co-simulation software.