Intel® Quartus® Prime Standard Edition User Guide: Third-party Simulation

ID 683080
Date 11/07/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.5.1. Compiling Simulation Models

The Intel® Quartus® Prime software includes simulation models for all Intel FPGA IP cores. These models include IP functional simulation models, and device family-specific models in the < Intel® Quartus® Prime installation path>/eda/sim_lib directory. These models include IEEE encrypted Verilog HDL models for both Verilog HDL and VHDL simulation.

The Intel® Quartus® Prime software includes precompiled libraries for both functional and gate-level simulation in the Questa* Intel® FPGA Edition software. Do not compile these library files before running a simulation. The Intel® Quartus® Prime software does not include precompiled libraries for any other simulator. You must compile the necessary libraries before performing functional or gate-level simulation in all other supported simulators.

The precompiled libraries provided in < Questa* Intel® FPGA Edition install path>/intel/ must be compatible with the version of the Intel® Quartus® Prime software that creates the simulation netlist. To verify compatibility of the precompiled libraries with your version of the Intel® Quartus® Prime software, refer to the < Questa* Intel® FPGA Edition install path>/intel/version.txt file. This file indicates the Intel® Quartus® Prime software version and build of the precompiled libraries.

Before running simulation, you must compile the appropriate simulation models from the Intel® Quartus® Prime simulation libraries using any of the following methods:

  • Use the NativeLink feature to automatically compile your design, Intel FPGA IP, simulation model libraries, and testbench.
  • To automatically compile all required simulation model libraries for your design in your supported simulator, click Tools > Launch Simulation Library Compiler. Specify options for your simulation tool, language, target device family, and output location, and then click OK.
  • Compile Intel® Quartus® Prime simulation models manually with your simulator.

Use the compiled simulation model libraries to simulate your design. Refer to your EDA simulator's documentation for information about running simulation.

Note: The specified timescale precision must be within 1ps when using Intel® Quartus® Prime simulation models.