Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 4/13/2020
Public

本文档可提供新的版本。客户应 单击此处 前往查看最新版本。

文档目录

2.2.4.1. 实体绑定的约束范围(Entity-bound Constraint Scope)

实体绑定的.sdc文件可以在工程中有一个自动或手动范围。范围决定了约束的应用范围。 默认情况下应用自动范围(automatic scoping)。
表 13.  实体绑定的约束范围(Entity-bound Constraint Scope)
约束范围类型(Constraint Scope Type) 约束应用(Constraints Apply) 使能实例绑定的范围(To Enable Instance-bound Scoping)
自动(Automatic) 工程中的已分配实体的所有实例,不包括顶层端口(get_ports)和时钟名称(get_clocks)。 SDC_ENTITY_FILE的默认模式。无需额外步骤。
手动(Manual) 已分配实体的当前实例,不包括顶层端口和时钟名称(具有全局范围)。

集合过滤器也具有全局范围,除非前面加上get_current_instance(设置实例范围)。

集合过滤器前面加上get_current_instance

以下示例约束显示使用get_current_instance将层次路径返回到当前实体以进行手动约束范围确定:

set_false_path –from [get_registers "reg_a"] –to \
     [get_pins “[get_current_instance]|*reset”]
注: 如果使用-from *或者-to *选项,而不使用其中的一个get_命令(例如get_keepers),那么没有任何约束范围出现在这些过滤器上(也就是说,在*的from/to集合过滤器上没有完成scoping,但scoping仍然能够出现在同一SDC命令中的其他集合过滤器上。