Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 10/04/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.1.24. ::quartus::project

The following table displays information for the ::quartus::project Tcl package:

Tcl Package and Version ::quartus::project 7.0
Description

This package contains the set of Tcl functions for making project-wide assignments. In versions before 4.0 of this package, the full path of the source file assignment was returned when you accessed the assignment through the "get_global_assignment" or "get_all_global_assignments" command. In version 4.0 of this package, the actual value of the source file assignment stored in the Quartus Prime Settings File (.qsf) is returned. To get the resolved full path of the file, use the "resolve_file_path" command. For more information about resolving file names and view an example, type "resolve_file_path -long_help". In version 5.0 of this package, two new Tcl commands "get_all_assignments" and "get_assignment_info" have been introduced to replace the following commands: get_all_quartus_defaults get_all_global_assignments get_all_instance_assignments get_all_parameters These two new commands simplify the interface to retrieve information about Quartus Prime Settings File (.qsf) and Quartus Prime Default Settings File (.qdf) assignments. In addition, the new "assignment_group" command replaces the deprecated "timegroup" command. In version 6.0, all Tcl commands designed to process Timing Analyzer assignments have been moved to the ::quartus::timing_assignment package.

Availability
This package is loaded by  default in the following executables:

    hdb_debug
    qpro_sh
    quartus_asm
    quartus_bpps
    quartus_cdb
    quartus_design
    quartus_eda
    quartus_fit
    quartus_idb
    quartus_ipd
    quartus_ipgenerate
    quartus_map
    quartus_sh
    quartus_si
    quartus_sim
    quartus_sta
    quartus_stp
    quartus_syn
    quartus_tlg
Tcl Commands
create_revision (::quartus::project)
delete_revision (::quartus::project)
execute_assignment_batch (::quartus::project)
export_assignments (::quartus::project)
get_all_assignment_names (::quartus::project)
get_all_assignments (::quartus::project)
get_all_global_assignments (::quartus::project)
get_all_instance_assignments (::quartus::project)
get_all_parameters (::quartus::project)
get_all_quartus_defaults (::quartus::project)
get_all_user_option_names (::quartus::project)
get_assignment_info (::quartus::project)
get_assignment_name_info (::quartus::project)
get_current_project (::quartus::project)
get_current_revision (::quartus::project)
get_database_version (::quartus::project)
get_global_assignment (::quartus::project)
get_instance_assignment (::quartus::project)
get_location_assignment (::quartus::project)
get_name_info (::quartus::project)
get_names (::quartus::project)
get_parameter (::quartus::project)
get_project_directory (::quartus::project)
get_project_revisions (::quartus::project)
get_top_level_entity (::quartus::project)
get_user_option (::quartus::project)
is_database_version_compatible (::quartus::project)
is_fitter_in_qhd_mode (::quartus::project)
is_project_open (::quartus::project)
project_archive (::quartus::project)
project_clean (::quartus::project)
project_close (::quartus::project)
project_exists (::quartus::project)
project_new (::quartus::project)
project_open (::quartus::project)
project_restore (::quartus::project)
remove_all_global_assignments (::quartus::project)
remove_all_instance_assignments (::quartus::project)
remove_all_parameters (::quartus::project)
resolve_file_path (::quartus::project)
revision_exists (::quartus::project)
set_current_revision (::quartus::project)
set_global_assignment (::quartus::project)
set_high_effort_fmax_optimization_assignments (::quartus::project)
set_instance_assignment (::quartus::project)
set_io_assignment (::quartus::project)
set_location_assignment (::quartus::project)
set_parameter (::quartus::project)
set_power_file_assignment (::quartus::project)
set_user_option (::quartus::project)
test_assignment_trait (::quartus::project)