AN 839: Design Block Reuse Tutorial: for Intel® Arria® 10 FPGA Development Board

ID 683783
Date 7/26/2019
Public
Document Table of Contents

1.4.2. Step 2: Compile and Export the Core Partition

Follow this step to run full compilation and manually export a final snapshot of your core partition. Alternatively, you can automate partition export each time you run compilation, as Step 1: Create a Reserved Core Partition describes later in this tutorial.
  1. To run full compilation of the design and create the final snapshot, click Compile Design on the Compilation Dashboard. Check marks indicate when each stage of compilation is complete.
    Figure 7. Full Compilation Complete
  2. To export the core partition, click Project > Export Design Partition. Select blinking_led for the Partition name, and the final compilation Snapshot for export.
    Figure 8. Export Design Partition Dialog Box
    Note: Intel® FPGA IP targeting Intel® Arria® 10 devices do not use entity-bound .sdc files by default. To use this option for Intel® Arria® 10 devices, you must first bind the .sdc file to the entity in the .qsf. Refer to "Using Entity-bound SDC Files," in Intel® Quartus® Prime Pro Edition User Guide: Timing Analyzer.
  3. Confirm blinking_led.qdb as the Partition Database File name, and then click OK. The final blinking_led.qdb that you export preserves the complete placement and routing information from the original project when you reuse the block in a Consumer project.