AN 839: Design Block Reuse Tutorial: for Intel® Arria® 10 FPGA Development Board

ID 683783
Date 7/26/2019
Public
Document Table of Contents

1.6.1. Step 1: Create a Reserved Core Partition

To export and reuse the root partition, first create a reserved core partition for subsequent core logic development in the Consumer project. When you define the partition, you can optionally specify settings to automatically export the partition each time you run compilation.
  1. In the Intel® Quartus® Prime Pro Edition software, click File > Open Project and open the /Root_Partition_Reuse/Developer/top.qpf project file.
  2. To synthesize the design, click Analysis & Synthesis on the Compilation Dashboard.
  3. In the Project Navigator, right-click u_blinking_led in the Hierarchy tab, point to Design Partition, and select the Reserved Core partition Type.
  4. To automatically export the final root_partition each time you run the Fitter, click Assignments > Design Partitions Window.
  5. Specify a .qdb file name for the Post Final Export File option for the partition. The .qdb exports to the directory you specify, or to the project directory by default.
    Figure 17. Setting Reserved Core Partition and Post Final Export File