Intel® Quartus® Prime Standard Edition User Guide: Power Analysis and Optimization

ID 683506
Date 9/24/2018
Public
Document Table of Contents

1.1. Comparison of the EPE and the Intel® Quartus® Prime Power Analyzer

The following figure shows the design stages in which you use power analysis tools, and compares the accuracy of the estimations for different input types:

Figure 1. Estimation Accuracy for Different Inputs and Power Analysis Tools


The following table lists the differences between the EPE and the Intel® Quartus® Prime Power Analyzer.

Table 1.  Comparison of the EPE and the Intel® Quartus® Prime Power Analyzer
Characteristic EPE Intel® Quartus® Prime Power Analyzer
When to use Any time
Note: For post-fit power analysis, you get better results with the Intel® Quartus® Prime Power Analyzer.
Post-fit
Software requirements Spreadsheet program The Intel® Quartus® Prime software
Accuracy Medium Medium to very high
Data inputs
  • Resource usage estimates
  • Clock requirements
  • Environmental conditions
  • Toggle rate
  • Post-fit design
  • Clock requirements
  • Signal activity defaults
  • Environmental conditions
  • Register transfer level (RTL) simulation results (optional)
  • Post-fit simulation results (optional)
  • Signal activities per node or entity (optional)
Data outputs
Note: The EPE and Power Analyzer outputs vary by device family.
  • Total thermal power dissipation
  • Thermal static power
  • Thermal dynamic power
  • Off-chip power dissipation
  • Current drawn from voltage supplies
  • Total thermal power
  • Thermal static power
  • Thermal dynamic power
  • Thermal I/O power
  • Thermal power by design hierarchy
  • Thermal power by block type
  • Thermal power dissipation by clock domain
  • Off-chip (non-thermal) power dissipation
  • Device supply currents
Estimation of transceiver power for dynamic reconfiguration features Includes an estimation of the incremental power consumption by these features. Not included