AN 307: Intel® FPGA Design Flow for AMD* Xilinx* Users

ID 683562
Date 4/01/2024
Public
Document Table of Contents

3.3.2.3. State Machine Editor

The Quartus® Prime Pro Edition software supports graphical state machine entry. To create a new finite state machine (FSM) design:
  1. Click File > New.
  2. In the New dialog box, expand the Design Files list, and then select State Machine File.