AN 307: Intel® FPGA Design Flow for AMD* Xilinx* Users

ID 683562
Date 4/01/2024
Public
Document Table of Contents

3.2.1.8. Run Complete Design Flow

The Quartus® Prime Pro Edition shell (quartus_sh) provides the --flow option, that allows you to perform complete compilation of a design project, including synthesis, implementation, timing analysis and bitfile generation. The AMD* Xilinx* Vivado* software does not have a similar command.

The following example runs compilation, timing analysis, and programming file generation with a single command:

quartus_sh --flow compile filtref

You can also use the -start and -stop options of the the quartus_sh --flow command to start and stop a compilation flow at specific compilation tasks.

For command line help, type quartus_sh --help=flow at the command prompt.