AN 307: Intel® FPGA Design Flow for AMD* Xilinx* Users

ID 683562
Date 4/01/2024
Public
Document Table of Contents

4.4.2. HDL Support for EDA Simulators

The Quartus® Prime Pro Edition software provides the following HDL support for EDA simulators:

  • VHDL 1987 (IEEE Standard 1076-1987)
  • VHDL 1993 (IEEE Standard 1076-1993)
  • VHDL 2008 (IEEE Standard 1076-2008)
  • VHDL 2019 (IEEE Standard 1076-2019)23
  • Verilog-1995 (IEEE Standard 1364-1995)
  • Verilog-2001 (IEEE Standard 1364-2001)
  • SystemVerilog-2005 (IEEE Standard 1800-2005)
  • SystemVerilog-2009 (IEEE Standard 1800-2009)
23 The following VHDL 2019 features are supported:
  • Conditional analysis tool directives (IEEE Std 1076-2019 Section 24.2)

  • Interface declarations (IEEE Std 1076-2019 Section 6.5)